nedoPC.org

Electronics hobbyists community established in 2002
Atom Feed | View unanswered posts | View active topics It is currently 18 Mar 2024 19:07



Reply to topic  [ 74 posts ]  Go to page Previous  1, 2, 3, 4, 5
Недокомпьютер nedoPC-85-A 

Интересно ли это?
Круто 44%  44%  [ 11 ]
Интересно, что еще сказать 40%  40%  [ 10 ]
Человеку нечего делать 12%  12%  [ 3 ]
Бред 4%  4%  [ 1 ]
Total votes : 25

Недокомпьютер nedoPC-85-A 
Author Message
Novelist

Joined: 26 Nov 2019 12:00
Posts: 35
Location: Санкт-Петербург
Reply with quote
Post Re:
Shaos wrote:
Я тут набросал продвинутую схему nedoPC-85-A v2 с ОЗУ 32К, двумя ВВ55 и непосредственно подключенным устройством NedoText. Предполагается ещё большая совместимость с РК-86 (не только по монитору, но и по непосредственному доступу к клавиатуре и видеопамяти). Текстовая видеопамять будет периодически (например по прерыванию) мониториться и все отличия будут засылаться в NedoText.

Здравствуйте!
А можно схему nedoPC-85-A v2 с ОЗУ 32К увидеть? Я что-то нигде ссылки не нашел(
И вообще, очень интересно, жив ли проект сейчас и к чему в итоге пришел автор? Ветка "Проект nedoPC" завалена другими темами и искать информацию тут достаточно трудно :roll:
Спасибо!

_________________
Костыли и велосипеды... :rotate:


06 Dec 2019 00:52
Profile
Admin
User avatar

Joined: 08 Jan 2003 23:22
Posts: 22379
Location: Silicon Valley
Reply with quote
Схема 32К из бумаги так никуда и не переросла, зато есть прямое продолжение - nedoPC-85-MK ;)

_________________
:dj: https://mastodon.social/@Shaos


06 Dec 2019 18:57
Profile WWW
Novelist

Joined: 26 Nov 2019 12:00
Posts: 35
Location: Санкт-Петербург
Reply with quote
Shaos wrote:
Схема 32К из бумаги так никуда и не переросла, зато есть прямое продолжение - nedoPC-85-MK ;)

А фотографий или рисунков случайно не сохранилось?) Идея отдельного процессорного модуля мне очень понравилась и преимущества 8085 относительно 8080 меня тоже заинтересовали (хотя бы отсутствием i8224 в схеме). Даже ринулся искать где приобрести данный процессор) Я плохо понимаю идею портов и алгоритм работы внешних устройств с процессором. Пока что могу понять только как разадресовать 64К памяти. Хочется сделать процессорный модуль и потихоньку его расширять параллельно изучая архитектуру уже существующих 8-битных компьютеров.

_________________
Костыли и велосипеды... :rotate:


07 Dec 2019 02:42
Profile
Admin
User avatar

Joined: 08 Jan 2003 23:22
Posts: 22379
Location: Silicon Valley
Reply with quote
Shaos wrote:
Shaos wrote:


Подумалось тут мне - раз уж 6264 ОЗУ уже имеет 2 входа разрешения - один управляется нулём, а другой - единичкой, то это можно задействовать в декодировании адреса, выкинув декодер 74LS138! Вместо 7408 ставим 7400 и делаем так:
- три NAND-а цепляются так, чтобы сформирвать OR
- четвёртый NAND работает как NAND
На два входа этих OR и NAND подаём A13 и A14 - в результате имеем:
Code:
A13 A14 | OR NAND
 0   0  | 0  1
 0   1  | 1  1
 1   0  | 1  1
 1   1  | 1  0

К выходу OR цепляем 8КБ ПЗУ 2865 и оно будет разрешаться когда A13=A14=0
К выходу NAND цепляем вторые 8КБ ПЗУ либо уводим наружу на NI-15 - оно будет разрешаться когда A13=A14=1
Пара A13/A14 идёт на /CS1 и CS2 у 6264
При желании можно подключить вторую 6264 подав A13/A14 на разрешающие входы наоборот
Таким образом первая 6264 будет разрешаться при A13=0 и A14=1, а вторая - при A13=1 и A14=0
В результате имеем схему простейшего nedoPC-85 с NI-15 всего лишь на 5 микросхем (8кб ПЗУ, 8кб ОЗУ) либо 6 микросхем (8кб ПЗУ, 16кб ОЗУ), либо вместо NI-15 ставим второй ПЗУ - тогда будет 7 микросхем (16кб ПЗУ, 16кб ОЗУ)
т.к. A15 игнорируется - распределение адресов будет повторяться в старших 32кб адресного пространства
P.S. на самом деле A15 можно подать на один из входов прерываний - тогда передавая управление на старшие адреса программа может вызвать прерывание программно...

Можно наверное сделать печатную платку для такого микроскопического варианта nedoPC-85, который я предложил в 2018 году, назвав его Nano :)

По диапазонам адресов и их предназначениям оно вот так будет соотноситься с двумя другим вариантами (Alpha и Micro):
Code:
Адр.диапазоны | nedoPC-85-A (2004)  | nedoPC-85-MK (2018)  | nedoPC-85-Nano (2024)
------------------------------------------------------------------------------------------------------
#0000...#1FFF | ПЗУ 8КБ (IC5)       | ПЗУ 8КБ (IC5)        | ПЗУ 8КБ (IC5)
#2000...#3FFF | ОЗУ 8КБ (IC6)       | ОЗУ 8КБ (IC6)        | ОЗУ 8КБ (IC3)
#4000...#5FFF | не используется     | 2-е ОЗУ 8КБ (IC7*)   | необязательное 2-e ОЗУ 8КБ (IC6*)
#6000...#7FFF | не используется     | внешняя память       | внешняя шина NI-15 или внешняя память
#8000...#9FFF | не используется     | матричная клавиатура | копия ПЗУ 8КБ (IC5)
#A000...#BFFF | внешняя шина NI-15  | внешняя шина NI-15   | копия ОЗУ 8КБ (IC3)
#C000...#DFFF | копия ОЗУ 8КБ (IC6) | копия ОЗУ 8КБ (IC6)  | копия необязательного 2-го ОЗУ 8КБ (IC6*)
#E000...#FFFF | копия ПЗУ 8КБ (IC5) | копия ПЗУ 8КБ (IC5)  | внешняя шина NI-15 или внешняя память
------------------------------------------------------------------------------------------------------
В DIP будет только ПЗУ, а 74HCT00, 80C85A и ОЗУ можно поставить в соиках:
https://www.digikey.com/en/products/detail/alliance-memory-inc/AS6C6264-55SIN/4234597

P.S. И кстати тут (в варианте с двумя микросхемами ОЗУ) всё также можно будет пускать мою операционку ShaOS :lol:

P.P.S. А с внешним миром можно общаться по последовательным сигналам SID и SOD

P.P.P.S. В версии Alpha на самом деле NI-15 можно прицепить в любое свободное окно или даже сделать 2 интерфейса одновременно - по старым адресам #A000...#BFFF и по новым адресам #6000...#7FFF (что будет совместимо с Nano), причём второй может быть гребёнкой контактов вниз (для втыкания в материнку с рядом запараллеленных сокетов NI-15), а первый - может торчать розеткой вверх для втыкания одного периферийного устройства NI-15 (и кстати внешняя память вполне может быть подключена через переходник с NI-15)...

_________________
:dj: https://mastodon.social/@Shaos


15 Jan 2024 22:42
Profile WWW
Admin
User avatar

Joined: 08 Jan 2003 23:22
Posts: 22379
Location: Silicon Valley
Reply with quote
Villiam wrote:
Shaos wrote:
Villiam wrote:
Shaos wrote:
Я тут набросал продвинутую схему nedoPC-85-A v2 с ОЗУ 32К, двумя ВВ55 и непосредственно подключенным устройством NedoText. Предполагается ещё большая совместимость с РК-86 (не только по монитору, но и по непосредственному доступу к клавиатуре и видеопамяти). Текстовая видеопамять будет периодически (например по прерыванию) мониториться и все отличия будут засылаться в NedoText.

Здравствуйте!
А можно схему nedoPC-85-A v2 с ОЗУ 32К увидеть? Я что-то нигде ссылки не нашел(
И вообще, очень интересно, жив ли проект сейчас и к чему в итоге пришел автор? Ветка "Проект nedoPC" завалена другими темами и искать информацию тут достаточно трудно :roll:
Спасибо!

Схема 32К из бумаги так никуда и не переросла, зато есть прямое продолжение - nedoPC-85-MK ;)

А фотографий или рисунков случайно не сохранилось?) Идея отдельного процессорного модуля мне очень понравилась и преимущества 8085 относительно 8080 меня тоже заинтересовали (хотя бы отсутствием i8224 в схеме). Даже ринулся искать где приобрести данный процессор) Я плохо понимаю идею портов и алгоритм работы внешних устройств с процессором. Пока что могу понять только как разадресовать 64К памяти. Хочется сделать процессорный модуль и потихоньку его расширять параллельно изучая архитектуру уже существующих 8-битных компьютеров.

Нашёл я таки то, чего я нагородил в июне 2011 года и был за это спрошен в декабре 2019 :lol:

т.е. через каких-то 4 года я представляю публике наброски прототипа "nedoPC-85-ABv2" 13-летней (почти) давности:

Attachment:
nedoPC-85-AB.jpg
nedoPC-85-AB.jpg [ 256.42 KiB | Viewed 2143 times ]

Это всё что есть, спасибо за внимание... :roll:

_________________
:dj: https://mastodon.social/@Shaos


15 Jan 2024 23:26
Profile WWW
Admin
User avatar

Joined: 08 Jan 2003 23:22
Posts: 22379
Location: Silicon Valley
Reply with quote
Значит расшифровываем

По ресету 2 триггера слева внизу устанавливаются в 1 и в 0 соответственно. Второй из них выдаёт сигнал SO на NedoText (у которого он называется IFLAG), а первый - переключает между ПЗУ 8КБ и ОЗУ 32КБ по-видимому в первой половине адресного пространства (A15=0). Кроме того ОЗУ и ПЗУ подключаются где-то во-второй половине тоже (при A15=1). Для того чтобы понять в каких частях второй половины смотрим на второй сверху декодер находящийся слева и выбирающий чипселекты в зависимости от состояния A13 и A14:
Code:
#8000...#9FFF - тут предполагалось подключение к первому декодеру, но потом я видимо решил увести его в область IO
#A000...#BFFF - тут подключается внешняя шина NI-15 (как на Альфе)
#C000...#DFFF - тут подключается 8-килобайтный кусочек ОЗУ
#E000...#FFFF - тут подключается копия ПЗУ 8КБ

По ребуту проц стартует с адреса #0000, где в начале расположено ПЗУ (4 копии 8КБ ПЗУ, заполняющие всю первую половину адресного пространства) - код в начале ПЗУ передаёт управление на копию ПЗУ в адресах #E000...#FFFF и устанавливает в первую половину адресного пространства ОЗУ, продолжая инициализацию уже оттуда.

Первый декодер переключает четвёрки портов (по состоянию A3 и A2):
Code:
#00...#03 - первая ВВ55
#04...#07 - вторая ВВ55
#08...#0B - выбор триггеров для записи
#0C...#0F - выбор буфера, разрешающего чтение SI c NedoText (у которого он называется OFLAG) по биту D0 и ещё кое-чего

Наверное было бы логичнее запись SO совместить с чтением SI на одном том же адресе, оставив в порту #08 только выбор ПЗУ/ОЗУ в первой половине адресного пространства (это так - заметки на полях при чтении древнего манускрипта)

Далее по поводу "ещё кое-чего" в порту #0C - бит данных D1 это выход триггера управляющего выбором ПЗУ/ОЗУ - видимо предполагалось уметь читать в каком состоянии мы находимся (что логично). Далее бит данных D2 зачем-то идёт на A15, но по ходу линия перечёркнута т.к. читать это бессмысленно, а на D3 опционально подаётся сигнал RDY/BSY с ПЗУ (некоторые ПЗУ-хи разрешают низковольтовую запись, но медленно - наверное надо ещё добавить бит разрешающий-запрещающий такую запись путём гейтования /WR).

Где-то сверху висит регистр 74ALS574, который по-видимому должен идти в NedoText как данные - разрешение записи туда непрорисовано - возможно надо воткнуть это в какой-то из портов (для этого надо дополнительную логику добавлять) либо в освободившееся адресное пространство #8000...#9FFF, но лучше конечно же в порты, а в #8000...#9FFF можно воткнуть матричную клавиатуру (как у варианта Micro), хотя тут ведь предполагалась РК-шная клава через ВВ55.

В правом-нижнем углу нарисованы какие-то мыслительные эксперименты, предназначение которых я в данный момент не понимаю (ну может внизу были наброски, приведшие меня через несколько лет к варианту Nano).

P.S. Вообще изначальная идея 2011 года состояла в следующем:
Shaos wrote:
Я тут набросал продвинутую схему nedoPC-85-A v2 с ОЗУ 32К, двумя ВВ55 и непосредственно подключенным устройством NedoText. Предполагается ещё большая совместимость с РК-86 (не только по монитору, но и по непосредственному доступу к клавиатуре и видеопамяти). Текстовая видеопамять будет периодически (например по прерыванию) мониториться и все отличия будут засылаться в NedoText.
т.е. если мы имитируем РК в смысле доступа к видеопамяти и клаве, то это значит клава должна сидеть в обычной памяти, а не в портах! А именно первый ВВ55 должен сидеть в #8000...#9FFF, а второй - в #A000...#C000 (там будет квазидиск - по идее можно завести бит в регистре управления, который будет выбирать ВВ55 или NI-15 туда торчит). Кроме того предполагалось, что буфер видеопамяти будет по тем же адресам, что и на РК: #76D0...#8000, и каким то образом по прерыванию программно (!) мы будем отслеживать что изменилось и засылать последовательности в NedoText. Теперь вот я думаю, что перебирать программно весь экран наверное будет медленно и надо аппаратно ловить запись в эту область памяти (0x76D0 = 0111 0110 1101 0000, что можно упростить до 0111 011X 1101 XXXX и выше вплоть до 0111 1111 1111 XXXX), запоминать адрес куда писали и вызывать прерывание (немаскируемое ибо INTE это звук), по которому программно разбирать куда что было записано для перепосылки в NedoText...

_________________
:dj: https://mastodon.social/@Shaos


16 Jan 2024 23:11
Profile WWW
Admin
User avatar

Joined: 08 Jan 2003 23:22
Posts: 22379
Location: Silicon Valley
Reply with quote
Shaos wrote:
Shaos wrote:
Shaos wrote:


Подумалось тут мне - раз уж 6264 ОЗУ уже имеет 2 входа разрешения - один управляется нулём, а другой - единичкой, то это можно задействовать в декодировании адреса, выкинув декодер 74LS138! Вместо 7408 ставим 7400 и делаем так:
- три NAND-а цепляются так, чтобы сформирвать OR
- четвёртый NAND работает как NAND
На два входа этих OR и NAND подаём A13 и A14 - в результате имеем:
Code:
A13 A14 | OR NAND
 0   0  | 0  1
 0   1  | 1  1
 1   0  | 1  1
 1   1  | 1  0

К выходу OR цепляем 8КБ ПЗУ 2865 и оно будет разрешаться когда A13=A14=0
К выходу NAND цепляем вторые 8КБ ПЗУ либо уводим наружу на NI-15 - оно будет разрешаться когда A13=A14=1
Пара A13/A14 идёт на /CS1 и CS2 у 6264
При желании можно подключить вторую 6264 подав A13/A14 на разрешающие входы наоборот
Таким образом первая 6264 будет разрешаться при A13=0 и A14=1, а вторая - при A13=1 и A14=0
В результате имеем схему простейшего nedoPC-85 с NI-15 всего лишь на 5 микросхем (8кб ПЗУ, 8кб ОЗУ) либо 6 микросхем (8кб ПЗУ, 16кб ОЗУ), либо вместо NI-15 ставим второй ПЗУ - тогда будет 7 микросхем (16кб ПЗУ, 16кб ОЗУ)
т.к. A15 игнорируется - распределение адресов будет повторяться в старших 32кб адресного пространства
P.S. на самом деле A15 можно подать на один из входов прерываний - тогда передавая управление на старшие адреса программа может вызвать прерывание программно...

Можно наверное сделать печатную платку для такого микроскопического варианта nedoPC-85, который я предложил в 2018 году, назвав его Nano :)

По диапазонам адресов и их предназначениям оно вот так будет соотноситься с двумя другим вариантами (Alpha и Micro):
Code:
Адр.диапазоны | nedoPC-85-A (2004)  | nedoPC-85-MK (2018)  | nedoPC-85-Nano (2024)
------------------------------------------------------------------------------------------------------
#0000...#1FFF | ПЗУ 8КБ (IC5)       | ПЗУ 8КБ (IC5)        | ПЗУ 8КБ (IC5)
#2000...#3FFF | ОЗУ 8КБ (IC6)       | ОЗУ 8КБ (IC6)        | ОЗУ 8КБ (IC3)
#4000...#5FFF | не используется     | 2-е ОЗУ 8КБ (IC7*)   | необязательное 2-e ОЗУ 8КБ (IC6*)
#6000...#7FFF | не используется     | внешняя память       | внешняя шина NI-15 или внешняя память
#8000...#9FFF | не используется     | матричная клавиатура | копия ПЗУ 8КБ (IC5)
#A000...#BFFF | внешняя шина NI-15  | внешняя шина NI-15   | копия ОЗУ 8КБ (IC3)
#C000...#DFFF | копия ОЗУ 8КБ (IC6) | копия ОЗУ 8КБ (IC6)  | копия необязательного 2-го ОЗУ 8КБ (IC6*)
#E000...#FFFF | копия ПЗУ 8КБ (IC5) | копия ПЗУ 8КБ (IC5)  | внешняя шина NI-15 или внешняя память
------------------------------------------------------------------------------------------------------
В DIP будет только ПЗУ, а 74HCT00, 80C85A и ОЗУ можно поставить в соиках:
https://www.digikey.com/en/products/detail/alliance-memory-inc/AS6C6264-55SIN/4234597
Вот такие мелкие 8085-е у меня имеются :rotate:

Attachment:
My8085s.jpg
My8085s.jpg [ 80.22 KiB | Viewed 1619 times ]

Кстати кто говорил, что Intel никогда не выпускал CMOS-версию 80C85?...

_________________
:dj: https://mastodon.social/@Shaos


31 Jan 2024 01:54
Profile WWW
Doomed

Joined: 10 Aug 2022 07:27
Posts: 351
Reply with quote
Я бы вообще без дешифратора делал.) А сериал почему не используешь?


31 Jan 2024 03:14
Profile
Admin
User avatar

Joined: 08 Jan 2003 23:22
Posts: 22379
Location: Silicon Valley
Reply with quote
Mondx wrote:
Я бы вообще без дешифратора делал.)

С одной ПЗУ-шкой? ;)
Это не интересно

Mondx wrote:
А сериал почему не используешь?

Лишняя микруха

Хочу попробовать SID и SOD сигналы проца заюзать

_________________
:dj: https://mastodon.social/@Shaos


31 Jan 2024 10:54
Profile WWW
Doomed

Joined: 10 Aug 2022 07:27
Posts: 351
Reply with quote
Shaos wrote:
С одной ПЗУ-шкой? ;)

ПЗУ и ОЗУ - как у тебя.

Shaos wrote:
Хочу попробовать SID и SOD сигналы проца заюзать

Я это и имел ввиду, увидев что SID/SOD у тебя не задействованы.

У меня где то 80c85 один валяется.)


31 Jan 2024 11:14
Profile
Admin
User avatar

Joined: 08 Jan 2003 23:22
Posts: 22379
Location: Silicon Valley
Reply with quote
Mondx wrote:
Shaos wrote:
С одной ПЗУ-шкой? ;)

ПЗУ и ОЗУ - как у тебя.

У меня два ОЗУ ;)

Предлагаешь типа пол памяти пзу (до 32кб) пол памяти озу (8кб повторяются 4 раза?)

_________________
:dj: https://mastodon.social/@Shaos


31 Jan 2024 12:32
Profile WWW
Doomed

Joined: 10 Aug 2022 07:27
Posts: 351
Reply with quote
Shaos wrote:
Предлагаешь типа пол памяти пзу (до 32кб) пол памяти озу (8кб повторяются 4 раза?)
Это называется неполная дешифрация.)
С той схемой, которая у тебя нарисована, полная и не нужна. К разъёму кроме IO ничего не подключишь, и тот IO может быть только в пространстве памяти. Или нет?


31 Jan 2024 21:28
Profile
Admin
User avatar

Joined: 08 Jan 2003 23:22
Posts: 22379
Location: Silicon Valley
Reply with quote
Нет - недоинтерфейс и память, и порты (просто тот кусочек, который выдаёт этот чипселект) с мультиплексированной шиной

Про недоинтерфейс №15 можно почитать в нулевом (2004) и в первом (весна 2005) номерах недожурнала:

http://www.nedopc.org/nedopc/Main/Journal

_________________
:dj: https://mastodon.social/@Shaos


01 Feb 2024 00:00
Profile WWW
Doomed

Joined: 10 Aug 2022 07:27
Posts: 351
Reply with quote
Ну да, дешифратор лишний.) 4 чипа, если по минимуму. Как и c z80.
Разработчики 85-го зря поставили защёлку на младшие адреса, на старших можно было бы сэкономить.


01 Feb 2024 12:51
Profile
Display posts from previous:  Sort by  
Reply to topic   [ 74 posts ]  Go to page Previous  1, 2, 3, 4, 5

Who is online

Users browsing this forum: No registered users and 7 guests


You cannot post new topics in this forum
You cannot reply to topics in this forum
You cannot edit your posts in this forum
You cannot delete your posts in this forum
You cannot post attachments in this forum

Search for:
Jump to:  
Powered by phpBB® Forum Software © phpBB Group
Designed by ST Software.