nedoPC.org

Electronics hobbyists community established in 2002
Atom Feed | View unanswered posts | View active topics It is currently 28 Apr 2024 06:40



Reply to topic  [ 222 posts ]  Go to page Previous  1 ... 3, 4, 5, 6, 7, 8, 9 ... 15  Next
Как бы потихонечку освоить работу с FPGA 
Author Message
Supreme God
User avatar

Joined: 21 Oct 2009 08:08
Posts: 7777
Location: Россия
Reply with quote
fifan wrote:
EP4CE6E22C8N и EP4CE6E22C8 - это одно и тоже, N - изготовление ПЛИС по бессвинцовой технологии. А чё так сразу с Циклона 4 начинать?

А кто ж знает с чего начинать? У меня рядом нет магазина с богатым выбором... :wink:
Да и времени всё пробовать особо много нет. Выбор делал тут при всех.
Вроде никто не сказал, что Циклон 4 - это не пойдет...
С запасом на перспективу так сказать... ну и MAX3000A я заказал - они вроде попривычнее..

fifan wrote:
Батенька, у Вас что-то с лицензией. Я так думаю, что купить полную Вам не по карману (и мне то же), так что переходите на Full с кряком. Я писал выше, что Web версия не даст нормально работать.

Да вроде как везде убеждают, что Quartus II Web Edition - для старта вполне сойдет... :-?

_________________
iLavr


30 Jun 2016 05:09
Profile
Supreme God
User avatar

Joined: 21 Oct 2009 08:08
Posts: 7777
Location: Россия
Reply with quote
Lavr wrote:
Смущает вот это:
"Parallel compilation is not licensed and has been disabled" - так и должно быть?

Похоже, что это "фича" Веб Версии Квартус-П... на неё, похоже, не обращают внимания.

https://marsohod.org/forum/5-altera-quartus-ii/2069-sozdat-pervyj-proekt-ne-poluchaetsya
leonem wrote:
Делал как написано в инструкции начал компилировать и он выдал ошибку.
Схему указал Cyclone III EP3C10E144C8

Warning (20028): Parallel compilation is not licensed and has been disabled
Error (12007): Top-level design entity "Test1_wer" is undefined
Error: Quartus II 32-bit Analysis & Synthesis was unsuccessful. 1 error, 1 warning
Error: Peak virtual memory: 287 megabytes
Error: Processing ended: Thu Nov 14 14:50:57 2013
Error: Elapsed time: 00:00:01
Error: Total CPU time (on all processors): 00:00:01
Error (293001): Quartus II Full Compilation was unsuccessful. 3 errors, 1 warning


А у меня: Quartus II Full Compilation всё же successful ! :wink:

_________________
iLavr


30 Jun 2016 05:22
Profile
Doomed
User avatar

Joined: 11 Dec 2003 14:34
Posts: 413
Reply with quote
Lavr wrote:
Как сказать Quartus II не лезть в Интернет ни за какими апдейтами?
В настройках пошарил - сразу как-то не нашел... :osad:

Tools -> Options -> Internet Connectivity -> снять пару чекбоксов в группе "Startup".
Там же нажми кнопку "TalkBack Options..." и сними чекбокс "Enable sending TalkBack data to Altera". Хотя стоп, TalkBack в вэб-версии квартуса нельзя отключить. Переходи лучше на "Subscription Edition" (полную версию) и не парься :) Как поставить лицензию - кидай свое е-мыло, брошу ридмишку (и крякнутые dll-ки).

_________________
Vasil Ivanov
vasil-i@yandex.ru


30 Jun 2016 07:06
Profile
Devil

Joined: 06 Oct 2006 03:17
Posts: 859
Location: г.Лянтор,Сургутского р-на,ХМАО
Reply with quote
Вот очень полезный мануал по созданию Спектрума в плисине. Нашёл у себя.


30 Jun 2016 07:10
Profile
Doomed
User avatar

Joined: 11 Dec 2003 14:34
Posts: 413
Reply with quote
Lavr wrote:
В меню выбора ПЛИС не нашел уже заказанной мной EP4CE6E22C8N
Там есть EP4CE6E22C8 и EP4CE6E22C8L... Выбрал EP4CE6E22C8.

Это то же самое, "N" - безсвинцовая технология и все. Бери во внимание спидгрей, т.е. "C8", "C7", "C6" в конце обозначения плисины.
"C6" - самая быстрая, "C8" - самая медленная в серии.

Quote:
Parallel compilation is not licensed and has been disabled,
Feature LogicLock is only available in a valid subscription license.

Так и должно быть - это ограничения вэб-версии квартуса. Я тебе писал раньше, что веб-версия квартуса компилирует в один поток, о чем будет предупреждать тебя в варнингах (первый). А логиклок - фитча, позволяющая фиксировать место разводки частей схемы (лог. элементов) внутри плиса, чтобы при следующей компиляции квартус не изменил место расположения этих указанных частей. Используется гуру при доводке сложных проектов "до кондиции", которые не хотят сразу работать. Так что на этот логиклок нам с тобой можно "забить" :)

Quote:
P.P.S. Кириллицу в комментариях проекта мой Quartus II не понимает и заменяет на <?> в зеленых ромбиках.

В редакторе квартуса используется кодировка UTF-8. Я не открываю файлы в его редакторе, а пользуюсь редактором Far-а (с подсветкой синтаксиса), в квартусе только компилю и прошиваю плисы.

_________________
Vasil Ivanov
vasil-i@yandex.ru


30 Jun 2016 07:27
Profile
Devil

Joined: 30 Nov 2013 11:08
Posts: 707
Location: WWW
Reply with quote
Для начала, у меня был лицензионный Quartus (НЕ крякнутый, а именно лицензионный - принципиально не использую левый софт). WEB-версия никаких проблем (кроме заведомо известных и явно указанных ограничений) по сравнению с лицензионной не показала, поэтому продлять лицензию не стал, перешел обратно на WEB.

Далее - насколько помню, начиная с какой-то версии, параллельная компиляция доступна и в WEB-версии, нужно только разрешить TalkBack (разрешает Quartus'у посылать в Altera отчеты о работе софта - хотя кто его знает, что там на самом деле посылается). Кроме того, разрешенный TalkBack также необходим для работы SignalTap (возможно, еще для чего).


30 Jun 2016 07:44
Profile
Supreme God
User avatar

Joined: 21 Oct 2009 08:08
Posts: 7777
Location: Россия
Reply with quote
Vasil Ivanov wrote:
Хотя стоп, TalkBack в вэб-версии квартуса нельзя отключить. Переходи лучше на "Subscription Edition" (полную версию) и не парься :) Как поставить лицензию - кидай свое е-мыло, брошу ридмишку (и крякнутые dll-ки).

Потренируюсь пока на вэб-версии квартуса, а как ПЛИС получу - может и следует переходить
на "Subscription Edition", посмотрим... качать их гигабайты тоже время отнимает...

newold86 wrote:
Далее - насколько помню, начиная с какой-то версии, параллельная компиляция доступна и в WEB-версии, нужно только разрешить TalkBack (разрешает Quartus'у посылать в Altera отчеты о работе софта - хотя кто его знает, что там на самом деле посылается). Кроме того, разрешенный TalkBack также необходим для работы SignalTap (возможно, еще для чего).

Да я ему и разрешил TalkBack (чего не надо, наверное, было делать), только вот ноутбук новый
мой с Вендой-7 в сеть не подключен, а так-то мне не жалко - пусть бы посылал...


Сейчас вот озадачился я вопросом - если МАХ3000А от ЧиД придут быстрее, придется делать
под них LPT-ByteBlaster, но LPT-порт у меня на старом ноутбуке под Вендой-98.

Какой софт из программаторов работает под Вендой-98?

_________________
iLavr


30 Jun 2016 08:31
Profile
Supreme God
User avatar

Joined: 21 Oct 2009 08:08
Posts: 7777
Location: Россия
Reply with quote
Vasil Ivanov wrote:
Там же нажми кнопку "TalkBack Options..."

Вероятно, Квартус хочет в Интернет залезть при нажатии "TalkBack Options...",
так что оно всё на этом нажатии сурово зависло, поскольку ноутбук не подключен в сеть... :wink:

Со второй попытки проник в эту опцию.
Интересно, что эта фича "TalkBack" там по умолчанию отключена.
Видимо, поскольку при инсталляции не было соединения с интернетом, то фича "TalkBack"
и не была активирована.

Отключил потуги Квартуса на апдейты: выглядит теперь смешно - окно об поиске апдейтов Квартус
показывает, но на то, что нет коннекта - больше не ругается... 8)

_________________
iLavr


30 Jun 2016 08:47
Profile
Devil

Joined: 06 Oct 2006 03:17
Posts: 859
Location: г.Лянтор,Сургутского р-на,ХМАО
Reply with quote
Lavr wrote:
Какой софт из программаторов работает под Вендой-98?

Вот ссылка с моего сайта - http://www.spetsialist-mx.ru/for_out/QuartusProgrammerSetup-13.0.0.156.exe.


30 Jun 2016 09:08
Profile
Supreme God
User avatar

Joined: 21 Oct 2009 08:08
Posts: 7777
Location: Россия
Reply with quote
fifan wrote:
Lavr wrote:
Какой софт из программаторов работает под Вендой-98?
Вот ссылка с моего сайта - http://www.spetsialist-mx.ru/for_out/QuartusProgrammerSetup-13.0.0.156.exe.
Вот прямо конкретно именно этот Programmer устанавливается и работает под Вендой-98?

А то я похожий файл с QuartusProgrammerSetup скачивал...
Attachment:
Quartus_down2.gif
Quartus_down2.gif [ 2.6 KiB | Viewed 6875 times ]

_________________
iLavr


30 Jun 2016 09:22
Profile
Devil

Joined: 06 Oct 2006 03:17
Posts: 859
Location: г.Лянтор,Сургутского р-на,ХМАО
Reply with quote
Вот держи ещё более ранний, но, наверное не для Квартуса - http://www.spetsialist-mx.ru/for_out/asap2_1023.rar.


30 Jun 2016 10:24
Profile
Supreme God
User avatar

Joined: 21 Oct 2009 08:08
Posts: 7777
Location: Россия
Reply with quote
fifan wrote:
Использовал самодельный Byte Blaster на АП6 и десятки резисторов,...

Насколько я понимаю, вся задача этого АП6 (74НС244) - согласовать уровни LPT-
порта и ПЛИС с питанием в 3.3В.
Attachment:
Image840.gif
Image840.gif [ 12.54 KiB | Viewed 6854 times ]

И при этом обеспечить передачу сигналов в нужных направлениях, согласно протоколу:
Attachment:
Image871.gif
Image871.gif [ 8.17 KiB | Viewed 6854 times ]

А сама времЯнка протокола формируется чисто программным путем...

А в USB-Blaster-е всю времЯнку формирует и вовсе контроллер в коробочке,
который от РС по USB просто получает байты прошивки и, возможно,
отправляет какие-то байты обратно в РС...

_________________
iLavr


30 Jun 2016 17:50
Profile
Supreme God
User avatar

Joined: 21 Oct 2009 08:08
Posts: 7777
Location: Россия
Reply with quote
И еще один вопрос мне несколько непонятный...
Как ПЛИС различает, работает она как обычно при подаче питания или её программируют?
Только по состоянию сигналов интерфейса JTAG ?

Раскопал вот тут схему на ПЛИС, более-менее приличную:
Attachment:
8722512.gif
8722512.gif [ 61.79 KiB | Viewed 6845 times ]

Вижу, что JTAG в её составе приделан намертво, а отдельных переключений "программирование-
работа
" вроде как не наблюдаю никаких...

_________________
iLavr


01 Jul 2016 02:55
Profile
Novelist

Joined: 17 Mar 2015 21:54
Posts: 31
Reply with quote
JTAG изначально тестовый интерфейс.
Он появился в микросхемах, где речи
не было о внутрисистемном программировании.
Вся его задача была - смотреть значения сигналов
и задавать тестовые воздействия.
Но раз появился такой удобный стандартизированный
интерфейс, на него стали вешать и другие
функции.
А чтобы перейти в режим программирования,
по JTAG подаётся не одна команда ...


01 Jul 2016 04:29
Profile
Supreme God
User avatar

Joined: 21 Oct 2009 08:08
Posts: 7777
Location: Россия
Reply with quote
viv-pm wrote:
JTAG изначально тестовый интерфейс.
...
А чтобы перейти в режим программирования,
по JTAG подаётся не одна команда ...

Это я всё и сам прочитал... но вот касательно конкретной ПЛИС - как она распознает,
что её сейчас будут программировать?
Иначе, при подаче питания, она ведь должна начать работать, если в ней прошито устройство?
Вот этот момент мне пока и не понятен... ну а JTAG - да он и есть JTAG... :obye:

_________________
iLavr


01 Jul 2016 06:13
Profile
Display posts from previous:  Sort by  
Reply to topic   [ 222 posts ]  Go to page Previous  1 ... 3, 4, 5, 6, 7, 8, 9 ... 15  Next

Who is online

Users browsing this forum: No registered users and 57 guests


You cannot post new topics in this forum
You cannot reply to topics in this forum
You cannot edit your posts in this forum
You cannot delete your posts in this forum
You cannot post attachments in this forum

Search for:
Jump to:  
Powered by phpBB® Forum Software © phpBB Group
Designed by ST Software.