nedoPC.org

Electronics hobbyists community established in 2002
Atom Feed | View unanswered posts | View active topics It is currently 16 Apr 2024 13:53



Reply to topic  [ 140 posts ]  Go to page Previous  1, 2, 3, 4, 5, 6, 7, 8 ... 10  Next
МЭВМ "Сетунь" цифровые элементы на ферритах 
Author Message
Doomed
User avatar

Joined: 04 Jan 2016 09:15
Posts: 656
Location: Russia, S.- Peterburg
Reply with quote
ТРОИЧНЫЙ ПОЛНЫЙ СУММАТОР

Симуляция основных троичных цифровых элементов
в SimulIDE бесплатный симулятор электроники

Сумматор состоит из двух полусумматоров. На входы первого полусумматора попадаются слагаемые a и b, а на входы второго - частичная сумма с первого полусумматора и задержанные на 1 такт импульсы переноса с первого полусумматора, взаимно-запрещаемые импульсами переноса со второго полусумматора.

Слагаемые на входы сумматора попадаются последовательно цифра за цифрой, начиная с младшего разряда. Цифры соответствующих разрядов суммы появляются на выходе сумматора через 2,5 такта. Сумматор производит алгебраическое сложение чисел.


Attachments:
full_adder_trit.png
full_adder_trit.png [ 233.79 KiB | Viewed 7588 times ]

_________________
"Ученье свет, а неученье — тьма. Дело мастера боится, и коль крестьянин не умеет сохою владеть — хлеб не родится." (С)
11 Apr 2022 07:49
Profile WWW
Doomed
User avatar

Joined: 04 Jan 2016 09:15
Posts: 656
Location: Russia, S.- Peterburg
Reply with quote
Недвоичные системы в вычислительной технике

Автор Д.Б. Малашевич

(Московский государственный институт электронной техники)
Произведён краткий обзор наиболее перспективных альтернативных
не двоичных систем счисления, рассмотрены известные вычисли-
тельные системы на их основе. Рассмотрены пути реализации
троичной систем.

Quote:
...
Недвоичные ЭВМ

Троичные ЭВМ
ЭВМ «Сетунь» [1]. В 1959-60 гг. в вычислительном центра МГУ
им. М.В. Ломоносова была разработана ЭВМ «Сетунь» (главный
конструктор Н.П. Брусенцов).
...
ЭВМ «Сетунь-70». В 1970 г. так же в ВЦ МГУ была разработана
с троичной симметричной системой представления данных и программ (главный конструктор Н.П. Брусенцов).

...
ЭВМ «Т-340А» и «К-340-А» [3]. В 1963-64 гг. в НИИ-37 (ныне
НИИДАР) по инициативе его директора Ф.В. Лукина был разрабо-
тан экспериментальный образец первой в стране модулярной ЭВМ
Т-340А (главный конструктор Д.И. Юдицкий, научный руководи-
тель И.Я. Акушский). ЭВМ предназначалась для расчетов радиоло-
кационных данных в радиолокаторе дальнего наблюдения системы
ПРО. ЭВМ проработала ряд лет в составе полигонного варианта
РЛС до его демонтажа.
...
ЭВМ «Алмаз» и «5Э53». В 1963 г. директор НИИ-37, инициатор
разработки ЭВМ Т-340А и К-340А был назначен генеральным ди-
ректором создаваемого в будущем Зеленограде Центра микроэлек-
троники. Туда же он пригласил коллектив Д.И. Юдицкого, который
по заказу Генерального конструктора ПРО Г.В. Кисунько присту-
пил к разработке высокопроизводительной ЭВМ для второй очере-
ди ПРО Московского промышленного района. Сначала (1967 – 68
гг.) это был эскизный проект ЭВМ «Алмаз» с изготовлением экс-
периментального образца, а после победы на конкурсе эскизных
проектов – разработка технического проекта ЭВМ «5Э53» (1969-71
гг.) с изготовлением опытного образца и серийным производством
на Загорском электромеханическом заводе. Главным конструкто-
ром обоих ЭВМ был Д.И. Юдицкий.
...

Самокорректирующий код

ЭВМ «Корень». В 1964 – 65 гг. в НПО «Агат» была разработана
специализированная ЭВМ «Корень» (главный конструктор – А. К.
Заволокин, зам. главного конструктора – Е. К. Юферова). ЭВМ
предназначалась для корабельной системы ПВО и применялась на
авианесущих крейсерах и кораблях противолодочной обороны.
В ЭВМ «Корень» для повышения надежности работы был исполь-
зован самокорректирующий код, исправляющий одиночные ошиб-
ки и обнаруживающий двойные. Арифметическое устройство для
реализации арифметических операций было построено на таблич-
ном принципе, т. е. все результаты арифметических операций хра-
нились в долговременном запоминающем устройстве и выбирались
по входным данным. В машине была обеспечена возможность за-
мены неисправного блока в процессе работы.


Троичная система

Троичная система счисления и троичная диалектическая логика
Брусенцова [4] с симметричным кодом (-1,0,+1) обладает рядом
ценных свойств наиболее интересными из которых являются [5]:

• Простота и гарантированная точность округления результатов
вычислений. Значение каждого разряда равно 1/3 следующего
более старшего, т.е. всегда менее половины его значения.
Следовательно округление сводится к простому отбрасыванию
лишних младших разрядов.

• Естественность представления знака числа. Нет необходимости
в введении дополнительного кода и хлопотах с ним в процессе
обработки информации, как это имеет место в двоичной системе.

• Более высокая информационная емкость троичного кода, по
сравнению с двоичным, уменьшает количество межразрядных
переносов при соответствующих операциях.

• Для двоичных ЭВМ все данные одинаково ценны, они не могут
игнорировать несущественную информацию или сделать
выбор из равных условий. Как известно двоичный Буриданов
осел умер от голода между двумя стогами сена. А троичный
Брусенцовов осел прекрасно себя там чувствует.

• Троичная логика, где есть «да», «нет» и «может быть» более
естественна и понятна для человека в отличие от двоичной,
исключающей вероятностный ход событий. Да и нейрон
человеческого мозга, оказывается, тоже троичный
(http://www.sci-tech-today.com/story.xhtml?story id=30..).

Однопроводные троичные элементы

На данный момент проработана схемотехника нескольких
троичных элементов на SPICE-моделях транзисторов технологии
АТ-12 ОАО «Ангстрем», пример такого элемента, реализующего
функцию троичного инвертора, приведен на рис. 1. Исследования
показали принципиальную возможность построения таких
элементов с точки зрения схемотехники и полупроводниковых
технологий, но и вскрыли ряд неразрешимых пока проблем.
...

Двухпроводные троичные элементы

Таким способом может быть двухпроводная реализация троичных
элементов. Она обеспечивает возможность построения троичной
ЭВМ на основе существующих промышленных полупроводниковых технологий и САПР без каких-либо доработок. На основе двухпроводного варианта возможно создание элементов, устройств и систем, полностью реализующих троичную арифметику и троичную диалектическую логику Брусенцова. Посуществу по этому варианту была построена и первая троичная ЭВМ «Сетунь».

Такой вывод в какой-то мере подтверждается проведенными
исследованиями. В частности проведена схемотехническая
разработка элемента, реализующего сугубо троичную функцию
«отношения следования», результаты которой приведены на рис. 2.

Разработка проведена в SPICE-моделях транзисторов базового
матричного кристалла (БМК) ОАО «Ангстрем» 1592ХМ1 (100 тыс.
вентилей). Предполагается дополнить библиотеку стандартных
элементов этого БМК троичными элементами, с тем, чтобы
проводить разработку ЭВМ на его основе.

Таким образом, имеются все необходимые предпосылки для создания элементной базы троичной ЭВМ, работа над проектом которой
в настоящее время начата Н.П. Брусенцовым в МГУ им. М.В. Ломоносова с участием ОАО «Ангстрем» и Санкт-петербургского государственного политехнического университета.


Attachments:
log_trit.png
log_trit.png [ 29.63 KiB | Viewed 7535 times ]
oneline_trit.png
oneline_trit.png [ 15.11 KiB | Viewed 7535 times ]

_________________
"Ученье свет, а неученье — тьма. Дело мастера боится, и коль крестьянин не умеет сохою владеть — хлеб не родится." (С)
15 Apr 2022 23:35
Profile WWW
Doomed
User avatar

Joined: 04 Jan 2016 09:15
Posts: 656
Location: Russia, S.- Peterburg
Reply with quote
ТРОИЧНАЯ ПАМЯТЬ СЕТУНЬ

Приближается "время X".

Из собранных материалов из интернета, первых навыков использования ферритовых логических элементов появилось прояснение как повторить части троичного компьютера "Сетунь-1958" на двоичных цифровых элементах.

В 1979 году в СССР начали выпускаться byntuhfkmyst микросхемы серии К561, с использованием МОП-транзисторов.

На рынке ещё можно купить раритетные советские интегральные микросхемы. Хотелось бы использовать советские монтажные печатные платы.

Первый важный блок троичного компьютера - это "Оперативная память". В оригинальной машине она собрана с использованием ферритовых колец. Вместо ферритовой памяти памяти буду использовать интегральные микросхемы К561РУ2. Микросхема К561РУ2 представляет собой статическое ОЗУ, имеющее организацию: 256 слов по 1 биту (256 ячеек хранения данных).

"...
Оперативное запоминающее устройство на ферритовых сердечниках емкостью 162-коротких слова.
..."

Короткое слово - это длина троичного числа равная 9 трит. Один трит будет хранится в виде двух бит.

Для макета троичной памяти машины Сетунь необходимо использовать интегральных микросхем 9 * 2 = 18 шт. Для реализации
дешифратора адреса, "Регистра ЗУ" будут использоваться триггеры К561ТМ2, К561ТМ3 и логические интегральные микросхемы из этой серии.

Конечно же, используем симуляцию основных троичных цифровых элементов в SimulIDE бесплатном симулятор электроники.


Attachments:
k561ru2_1_mini.jpg
k561ru2_1_mini.jpg [ 63.83 KiB | Viewed 7506 times ]
setun_fig.jpg
setun_fig.jpg [ 51.46 KiB | Viewed 7506 times ]
kp561xx.jpg
kp561xx.jpg [ 67.28 KiB | Viewed 7506 times ]

_________________
"Ученье свет, а неученье — тьма. Дело мастера боится, и коль крестьянин не умеет сохою владеть — хлеб не родится." (С)
18 Apr 2022 08:11
Profile WWW
Doomed
User avatar

Joined: 04 Jan 2016 09:15
Posts: 656
Location: Russia, S.- Peterburg
Reply with quote
Основные логические ячейки Сетунь-1958

Для симулятора электроники SimulIDE_0.4.15-R253 созданы троичные логические элементы.


Quote:
$> tree -L 1
.
├── A_not_B
├── Pulse_generator_A
├── TSE_0
├── TSE_00
├── TSE_01
├── TSE_02
├── TSE_03
├── TSE_1
├── TSE_11
├── TSE_22
├── TSE_23
├── TSE_24
├── TSE_27
├── TSE_28
├── TSE_2_V1
├── TSE_2_V2
├── TSE_2_V3
├── TSE_43
├── TSE_44
├── TSE_47
├── TSE_4_V1
├── TSE_4_V2
├── TSE_4_V3
└── TSE_71

24 directories, 0 files


Attachments:
tse_table_mini.png
tse_table_mini.png [ 73.8 KiB | Viewed 7484 times ]

_________________
"Ученье свет, а неученье — тьма. Дело мастера боится, и коль крестьянин не умеет сохою владеть — хлеб не родится." (С)
20 Apr 2022 08:59
Profile WWW
Doomed
User avatar

Joined: 04 Jan 2016 09:15
Posts: 656
Location: Russia, S.- Peterburg
Reply with quote
Троичная Оперативная ЗУ Сетунь-1958

Первая версия троичной памяти на двоичных цифровых микросхемах памяти 256 x 1 бит.

Данные подаются и читаются последовательно тритами за 9 тактов по сигналу CLK2.

Адрес подается в виде бит за 8 тактов о сигналу CLK1, т.е. используется дешифратор "2 --> 10"

Необходимо заменить на дешифратор тритов "3 --> 10".


Attachments:
setun_fram.png
setun_fram.png [ 14.21 KiB | Viewed 7471 times ]
TS_FRAM_mini.png
TS_FRAM_mini.png [ 101.85 KiB | Viewed 7472 times ]
TS_FRAM_backup.zip [26.19 KiB]
Downloaded 214 times

_________________
"Ученье свет, а неученье — тьма. Дело мастера боится, и коль крестьянин не умеет сохою владеть — хлеб не родится." (С)
20 Apr 2022 15:09
Profile WWW
Doomed
User avatar

Joined: 04 Jan 2016 09:15
Posts: 656
Location: Russia, S.- Peterburg
Reply with quote
Троичный дешифратор для куба Оперативной ЗУ Сетунь-1958

Для записи и чтения в память запоминающего устройства необходимо выполнить преобразование 3-кода в физический адрес ОЗУ.

Смотрите версию дешифрации 4 тритов в двоичный адрес памяти ОЗУ.


Attachments:
ts_cube_fram_mini.png
ts_cube_fram_mini.png [ 104.59 KiB | Viewed 7449 times ]

_________________
"Ученье свет, а неученье — тьма. Дело мастера боится, и коль крестьянин не умеет сохою владеть — хлеб не родится." (С)


Last edited by askfind on 26 Apr 2022 09:50, edited 1 time in total.

21 Apr 2022 13:45
Profile WWW
Doomed
User avatar

Joined: 04 Jan 2016 09:15
Posts: 656
Location: Russia, S.- Peterburg
Reply with quote
Троичный дешифратор 4 трита в 7 бит

Для использования память К561РУ2 (256 х 1 бит) необходимо использовать двоичный адрес A7...A0.

Адресация памяти Оперативная ЗУ в Сетунь-1958 троичная. Необходимо было k1k2k3k4k5 - троичный адрес отобразить в адреса микросхемы памяти A7A6A5A4A3A2A1A0.

Трит k5 есть "Адрес A0" - принимает значение или 0, или 1.

Необходимо часть троичного адреса k1k2k3k4, 4 тритное число, дешифровать в адреса A7A6A5A4A3A2A1.

Дешифратора из трочного числа в двоичный код для SimulIDE бесплатный симулятор электроники.


Attachments:
4_trits_to_7_bin.zip [9.53 KiB]
Downloaded 192 times
4_trits_to_7_bin_mini.png
4_trits_to_7_bin_mini.png [ 92.12 KiB | Viewed 7384 times ]

_________________
"Ученье свет, а неученье — тьма. Дело мастера боится, и коль крестьянин не умеет сохою владеть — хлеб не родится." (С)
26 Apr 2022 09:46
Profile WWW
Senior
User avatar

Joined: 11 Oct 2018 00:52
Posts: 199
Location: г. Клинцы, Брянская обл.
Reply with quote
Случайно попал сюда. Буду следить...
Упаковал Троичный дешифратор 4 трита в 7 бит в подсхему. теперь он будет занимать меньше места на схеме.
Модельку прилагаю. Надеюсь, пригодится. Как добавить, думаю, понятно.
Прошу проверить во всех режимах (ибо немного сомневаюсь в правильности работы).

Желаю успехов в этом начинании!


Attachments:
3_to_2_decoder.zip [2.67 KiB]
Downloaded 187 times
3_to_2_decoder.PNG
3_to_2_decoder.PNG [ 54.39 KiB | Viewed 7334 times ]
29 Apr 2022 11:09
Profile
Doomed
User avatar

Joined: 04 Jan 2016 09:15
Posts: 656
Location: Russia, S.- Peterburg
Reply with quote
FizikS wrote:
Прошу проверить во всех режимах (ибо немного сомневаюсь в правильности работы).


Проверил:

1) Версия: SimulIDE_R1131_Lin64 - Test ERROR
Элемент не вставляется на схему.

2) Версия: SimulIDE_0.4.15-R253_Lin64 - Test OK'
Проверил элемент.

Я сделал схему декодирования адрес тритов в бинарный код для микросхем К561РУ2.

Необходимо каждый трит пропустить через схему, которая комбинацию (+1,-1) не допускает и преобразует (0,0).

Необходимо добавить на каждый вход эту схему.


Attachments:
trit.png
trit.png [ 27.98 KiB | Viewed 7324 times ]

_________________
"Ученье свет, а неученье — тьма. Дело мастера боится, и коль крестьянин не умеет сохою владеть — хлеб не родится." (С)
29 Apr 2022 13:01
Profile WWW
Senior
User avatar

Joined: 11 Oct 2018 00:52
Posts: 199
Location: г. Клинцы, Брянская обл.
Reply with quote
Добавил в схему.
Для новой версии программы нужно немного доработать. Днем сделаю.


Attachments:
3_to_2_decoder.zip [2.64 KiB]
Downloaded 201 times
29 Apr 2022 14:23
Profile
Doomed
User avatar

Joined: 04 Jan 2016 09:15
Posts: 656
Location: Russia, S.- Peterburg
Reply with quote
FizikS wrote:
Добавил в схему.
... Для новой версии программы нужно немного доработать. Днем сделаю.


Тестирование:

3_to_2_decoder в SimulIDE_0.4.15-R253_Lin64 - Test OK'.

Работает правильно.

Открыл реализацию элемента. Не понял как внутри используемой памяти выполняется декодирование.


Attachments:
3_to_2_decoder.png
3_to_2_decoder.png [ 75.41 KiB | Viewed 7290 times ]

_________________
"Ученье свет, а неученье — тьма. Дело мастера боится, и коль крестьянин не умеет сохою владеть — хлеб не родится." (С)
29 Apr 2022 22:18
Profile WWW
Senior
User avatar

Joined: 11 Oct 2018 00:52
Posts: 199
Location: г. Клинцы, Брянская обл.
Reply with quote
Адаптировать под новую версию пока не получается. Сообщил автору программы о проблеме. Жду результатов. Выложу, как только проблема будет решена.


29 Apr 2022 22:57
Profile
Senior
User avatar

Joined: 11 Oct 2018 00:52
Posts: 199
Location: г. Клинцы, Брянская обл.
Reply with quote
Quote:
Открыл реализацию элемента. Не понял как внутри используемой памяти выполняется декодирование.


Комбинационная схема каждой комбинации входных сигналов ставит в соответствие единственное состояние на выходе.
Т.о. вместо комбинационной схемы, имеющей N входов и M выходов можно использовать ПЗУ с N адресными входами и шиной данных шириной M. Остается в ПЗУ поместить нужную прошивку и дело сделано. В простейшем случае прошивку делаем по таблице истинности. В более сложных случаях - извращаемся, кто как может... Программисты часто пишут программы, которая вычисляет содержимое ПЗУ по заданным логическим функциям. Из меня программист не ахти какой, поэтому приходится извращаться в квадрате (опыт уже есть, поэтому создание прошивок проходит быстро) ...
На ПЗУ удобно сделать, например, АЛУ. Я уже переделал модель 74РС181 на ПЗУ, в результате скорость симуляции резко возросла. Таким образом можно заменить реальные редкие микросхемы на ПЗУ и не мучится с их приобретением. Раньше на 155РЕ3 делали дешифраторы для семисегментных индикаторов с отображением 16-ричных цифр. Много чего делали для Спектрумов и других компьютеров (использовали вместо ПЛМ).


30 Apr 2022 04:18
Profile
Doomed
User avatar

Joined: 04 Jan 2016 09:15
Posts: 656
Location: Russia, S.- Peterburg
Reply with quote
Таблица истинности полного троичного сумматор тритов

при хранении в памяти как описано в структуре.

Можно и FPGA проверить. Если t0(bn...bo), где бит b0=0 позволяет не проверять биты в t1(bn...bo).

Т.е. минимальные логическими элементами обеспечиваются операции над тритами: mul, add, sub. not, or, xor.

typedef struct trs
{
uint8_t l; /* длина троичного числа в тритах */
uint32_t t1; /* троичное число FALSE,TRUE */
uint32_t t0; /* троичное число NIL */
} trs_t;


Attachments:
photo_2022-06-07_12-57-37.jpg
photo_2022-06-07_12-57-37.jpg [ 141.83 KiB | Viewed 6971 times ]

_________________
"Ученье свет, а неученье — тьма. Дело мастера боится, и коль крестьянин не умеет сохою владеть — хлеб не родится." (С)
07 Jun 2022 03:07
Profile WWW
Supreme God
User avatar

Joined: 21 Oct 2009 08:08
Posts: 7777
Location: Россия
Reply with quote
МЭВМ "Сетунь" цифровые элементы на ферритах
askfind wrote:
Хочется воссоздать и повторить цифровые блоки троичного компьютера. Есть ли у вас ссылки на литературу и материалы по архитектуре компьютера? Кто-нибудь макетировал магнитные усилители на ферритовых кольцах?

Image
askfind wrote:
Троичная Оперативная ЗУ Сетунь-1958
Первая версия троичной памяти на двоичных цифровых микросхемах памяти 256 x 1 бит.

СТОЛЬКО ФЕРРИТОВЫХ КОЛЕЦ! :o
:wink:

_________________
iLavr


08 Jun 2022 06:49
Profile
Display posts from previous:  Sort by  
Reply to topic   [ 140 posts ]  Go to page Previous  1, 2, 3, 4, 5, 6, 7, 8 ... 10  Next

Who is online

Users browsing this forum: No registered users and 6 guests


You cannot post new topics in this forum
You cannot reply to topics in this forum
You cannot edit your posts in this forum
You cannot delete your posts in this forum
You cannot post attachments in this forum

Search for:
Jump to:  
Powered by phpBB® Forum Software © phpBB Group
Designed by ST Software.