nedoPC.org

Electronics hobbyists community established in 2002
Atom Feed | View unanswered posts | View active topics It is currently 19 Apr 2024 00:57



Reply to topic  [ 222 posts ]  Go to page Previous  1, 2, 3, 4, 5, 6, 7, 8 ... 15  Next
Как бы потихонечку освоить работу с FPGA 
Author Message
Supreme God
User avatar

Joined: 21 Oct 2009 08:08
Posts: 7777
Location: Россия
Reply with quote
Lavr wrote:
Может быть они и правда что-то там на своем сервере делают... :osad:

Похоже на то... :-? Потому как я всё же зарегистрировался... :lol:
Но теперь выпадаю со страницы Download Center - никак она не признаёт там за своего...

Ну попробую её всё же убедить...
А то для чего же я прицепил через хаб 120-Гиговый внешний диск?! :mrgreen:

ПАЙЕХАЛООО! УРРРАААААА! :mrgreen:

_________________
iLavr


27 Jun 2016 17:00
Profile
Supreme God
User avatar

Joined: 21 Oct 2009 08:08
Posts: 7777
Location: Россия
Reply with quote
Значит, если я всё правильно понял, мне достаточно скачать только отмеченные файлы?
Attachment:
Quartus_files.gif
Quartus_files.gif [ 32.22 KiB | Viewed 6922 times ]

_________________
iLavr


27 Jun 2016 18:29
Profile
Devil

Joined: 30 Nov 2013 11:08
Posts: 707
Location: WWW
Reply with quote
Lavr wrote:
Значит, если я всё правильно понял, мне достаточно скачать только отмеченные файлы?

Как минимум, нужен еще Programmer (естественно, получится вместе с SignalTap, полезная штука). Для моего Ориона ВРОДЕ еще нужен EDS (не помню, хватает ли просто NIOS II)


27 Jun 2016 19:07
Profile
Doomed
User avatar

Joined: 11 Dec 2003 14:34
Posts: 413
Reply with quote
newold86 wrote:
Lavr wrote:
Значит, если я всё правильно понял, мне достаточно скачать только отмеченные файлы?

Как минимум, нужен еще Programmer (естественно, получится вместе с SignalTap, полезная штука).

После компиляции проекта прямо из квартуса прошиваешь и усе. Но "до кучи" можно программер скачать и отдельно.

P.S. Если и нужен СигналТап, то на самом последнем этапе отладки готового проекта. Обычно связки Квартус + Моделсим достаточно.

_________________
Vasil Ivanov
vasil-i@yandex.ru


28 Jun 2016 02:33
Profile
Supreme God
User avatar

Joined: 21 Oct 2009 08:08
Posts: 7777
Location: Россия
Reply with quote
SoC Embeded Design Sute (EDS) 1.2 GB - в принципе нужен или нет, я не очень понял newold86:
newold86 wrote:
Для моего Ориона ВРОДЕ еще нужен EDS (не помню, хватает ли просто NIOS II)

Он единственый скачался почему-то с ошибкой... сейчас я снова поставил его на закачку...

А Vasil Ivanov - явно колдун: :mrgreen:
Vasil Ivanov wrote:
И я искренне не понимаю тебя за твои потуги накормить дармоедов из чип-дипа.
В общем, у дармоедов из чип-дипа неожиданно закончились кабеля-коробочки... и я их теперь точно не накормлю... 8)
Придется подкинуть побольше пишена братьям-китайцам... :lol:


P.S. В итоге скачал-таки вот такой набор файлов общим объёмом 4.55 ГБайт.
Attachment:
Quartus_down.gif
Quartus_down.gif [ 4.1 KiB | Viewed 6887 times ]

Супротив образа диска *.iso в 7.2 ГБайт это довольно-таки скромно... :wink:

_________________
iLavr


28 Jun 2016 08:21
Profile
Doomed
User avatar

Joined: 11 Dec 2003 14:34
Posts: 413
Reply with quote
Lavr wrote:
SoC Embeded Design Sute (EDS) 1.2 GB - в принципе нужен или нет, я не очень понял newold86

"SoC" - System On Chip, т.е. в плисину засовывают софтовый процессор (Nios), контроллер(ы) SDRAM-памяти и др. периферию. Говоря иначе делают из плисины микроконтроллер.

Quote:
P.S. В итоге скачал-таки вот такой набор файлов общим объёмом 4.55 ГБайт.

Ну таперяча дело за "Hello, world!"... то биш помигать светодиодиками :). Осваивай связку Квартус+Моделсим, это наше фсё!

P.S. Есть видео (на 7 мин), я одному парню писал. Там создание нового проекта в Квартусе, его компиляция и запуск Моделсима для просмотра результатов симуляции. По ходу видео показываю некоторые моменты, типа навигации, открытия списков и т.д., так что видео содержит лишние телодвижения :) Если надо, сигнализируй, брошу.

_________________
Vasil Ivanov
vasil-i@yandex.ru


29 Jun 2016 03:17
Profile
Devil

Joined: 06 Oct 2006 03:17
Posts: 857
Location: г.Лянтор,Сургутского р-на,ХМАО
Reply with quote
Что-то я несколько дней не заходил на форум, а здесь - аншлаг, многое пропустил.

По теме. Я как понял Lavr решил плисинами заняться. Я когда-то тоже начинал с EPM7128SLC84 и делал вот такой Специалист/Специалист_МХ. Со временем я запутался в мгтф пайке, появились глюки по питанию и я распаял плату. Использовал самодельный Byte Blaster на АП6 и десятки резисторов, потом прикупил USB Blaster.
Последний из собранных Специалистов - это на DevBoard Reverce U10 (http://www.spetsialist-mx.ru/index34.html). Там уже применена EP3C10E144C8 (Циклон 3). Применяется: клавиатура PS/2, использована почти вся память ПЛИС под ПЗУ Специалиста, SD интерфейс позволяет загружать файлы с SD карты.


29 Jun 2016 08:17
Profile
Devil

Joined: 30 Nov 2013 11:08
Posts: 707
Location: WWW
Reply with quote
fifan wrote:
Я когда-то тоже начинал с EPM7128SLC84 и делал вот такой Специалист/Специалист_МХ. Со временем я запутался в мгтф пайке, появились глюки по питанию и я распаял плату

Это практически один к одному моя история :)


29 Jun 2016 09:39
Profile
Supreme God
User avatar

Joined: 21 Oct 2009 08:08
Posts: 7777
Location: Россия
Reply with quote
Vasil Ivanov wrote:
Ну таперяча дело за "Hello, world!"... то биш помигать светодиодиками :). Осваивай связку Квартус+Моделсим, это наше фсё!

Сегодня проплатил счета китайцам и ЧиД. У ЧиД заказал 5 шт MAX3000 с платками под них.
У китайцев заказал 2 шт. ALTERA-CoreEP4CE6 и 4 шт. Бластеров, раз уж Vasil Ivanov велел
не кормить ЧиД, а кормить братьев-китайцев... :ebiggrin: Всё вместе обошлось в 8 200 р.
Так что ждём-с... кто из них быстрее. :wink:

Кстати... если ЧиД окажется быстрее - самодельный LPT-Бластер работает из-под Венды-98 ?

Сейчас как раз ставлю Квартус+Моделсим на свой новый ноут под Венду-7... но там LPT конечно же нет...

Vasil Ivanov wrote:
Есть видео (на 7 мин), я одному парню писал. Там создание нового проекта в Квартусе, его компиляция и запуск Моделсима для просмотра результатов симуляции. По ходу видео показываю некоторые моменты, типа навигации, открытия списков и т.д., так что видео содержит лишние телодвижения :) Если надо, сигнализируй, брошу.

С удовольствием бы посмотрел... (сигнализирую тремя зелёными свистками :mrgreen: )

_________________
iLavr


29 Jun 2016 11:32
Profile
Devil

Joined: 06 Oct 2006 03:17
Posts: 857
Location: г.Лянтор,Сургутского р-на,ХМАО
Reply with quote
Lavr wrote:
Сейчас как раз ставлю Квартус+Моделсим на свой новый ноут под Венду-7... но там LPT конечно же нет...

Как бы да. И под XP работает. Потом когда LPT порт у меня стал отсутствовать на новом ПК я подключал Byte Blaster через переходник см. здесь (http://zx-pk.ru/threads/9342-plis-i-vsj ... post348565).


29 Jun 2016 12:12
Profile
Doomed
User avatar

Joined: 11 Dec 2003 14:34
Posts: 413
Reply with quote
Quote:
Vasil Ivanov wrote:
Есть видео (на 7 мин), я одному парню писал. Там создание нового проекта в Квартусе, его компиляция и запуск Моделсима для просмотра результатов симуляции. По ходу видео показываю некоторые моменты, типа навигации, открытия списков и т.д., так что видео содержит лишние телодвижения :) Если надо, сигнализируй, брошу.

С удовольствием бы посмотрел... (сигнализирую тремя зелёными свистками :mrgreen: )


В личных мессагах.

_________________
Vasil Ivanov
vasil-i@yandex.ru


29 Jun 2016 13:06
Profile
Supreme God
User avatar

Joined: 21 Oct 2009 08:08
Posts: 7777
Location: Россия
Reply with quote
Quartus II 13.0 SP1 232 наконец-то установился... :-? А ставится он довольно таки прилично долго...
Ну понятно... гигабайты рассовать дело небыстрое, а просит он 12 Гигов на диске.

Первая неприятность, что он при старте постоянно лезет в Интернет, а у меня второй ноут
с Вендой-7 в сеть не подключен...

Как сказать Quartus II не лезть в Интернет ни за какими апдейтами?
В настройках пошарил - сразу как-то не нашел... :osad:

Сейчас попробую пример от Vasil Ivanovа запустить...


P.S. Вот это, кстати, не надо сделать?
Quote:
А ввели бы правильный адрес хоста, была бы у вас правильная лицензия.
А где там адрес хоста? Первая лицензия точно правильная, вторую не пробовал. Обе на один адаптер.

Идем сюда: http://www.altera.com/support/licensing/lic-choose.html
выбираем линк: "Get a license for the Quartus® II Web Edition software and the ModelSim®-Altera Web Edition software",
вводим username/password,
попадаем на вышеназванную страничку,
там идет набор полей "Personal Information",
а потом "Registration Information".
Так вот сразу под строкой "Registration Information" первое же поле будет: "Enter your network interface card (NIC) number".

_________________
iLavr


29 Jun 2016 17:39
Profile
Devil

Joined: 06 Oct 2006 03:17
Posts: 857
Location: г.Лянтор,Сургутского р-на,ХМАО
Reply with quote
А мы пользуемся кряком, который активирует лицензию, которая генерируется по мак адресу сетевухи. Забыл сказать, что Web версия ограниченная. У меня постоянно вываливались ошибки левые. Я пользуюсь Full версией.


30 Jun 2016 04:35
Profile
Supreme God
User avatar

Joined: 21 Oct 2009 08:08
Posts: 7777
Location: Россия
Reply with quote
Lavr wrote:
...устанавливаю Quartus, пишу в нем код, "нажал на кнопку - загорелся светодиод" (пример которого есть везде), компилирую ...

Проделал ручками первый проект в Quartus II по шпаргалке с https://marsohod.org/11-blog/78-newproject.

Прошло все более-менее нормально, хотя озадачили несколько позиций:
В меню выбора ПЛИС не нашел уже заказанной мной EP4CE6E22C8N
Там есть EP4CE6E22C8 и EP4CE6E22C8L... Выбрал EP4CE6E22C8.

По шпаргалке с https://marsohod.org/11-blog/78-newproject должно случиться
5 "ворнингов", у меня их случилось 12 с EP4CE6E22C8 и 11 с той ПЛИС, что в шпаргалке.

Смущает вот это:
"Parallel compilation is not licensed and has been disabled" - так и должно быть?


P.S. Проект, который мне дал в учебных целях Vasil Ivanov, откомпилировался с 4-мя "ворнингами":
из которых 3 - этот самый Parallel compilation is not licensed and has been disabled,
а 4-й : Feature LogicLock is only available in a valid subscription license.

P.P.S. Кириллицу в комментариях проекта мой Quartus II не понимает и заменяет на <?> в зеленых ромбиках.

_________________
iLavr


30 Jun 2016 04:38
Profile
Devil

Joined: 06 Oct 2006 03:17
Posts: 857
Location: г.Лянтор,Сургутского р-на,ХМАО
Reply with quote
EP4CE6E22C8N и EP4CE6E22C8 - это одно и тоже, N - изготовление ПЛИС по бессвинцовой технологии. А чё так сразу с Циклона 4 начинать? Я, например начинал с этого (https://github.com/FifanFromRussia/MyFmeter).

Батенька, у Вас что-то с лицензией. Я так думаю, что купить полную Вам не по карману (и мне то же), так что переходите на Full с кряком. Я писал выше, что Web версия не даст нормально работать.


30 Jun 2016 04:58
Profile
Display posts from previous:  Sort by  
Reply to topic   [ 222 posts ]  Go to page Previous  1, 2, 3, 4, 5, 6, 7, 8 ... 15  Next

Who is online

Users browsing this forum: No registered users and 13 guests


You cannot post new topics in this forum
You cannot reply to topics in this forum
You cannot edit your posts in this forum
You cannot delete your posts in this forum
You cannot post attachments in this forum

Search for:
Jump to:  
Powered by phpBB® Forum Software © phpBB Group
Designed by ST Software.