nedoPC.org

Electronics hobbyists community established in 2002
Atom Feed | View unanswered posts | View active topics It is currently 28 Mar 2024 15:57



Reply to topic  [ 193 posts ]  Go to page Previous  1 ... 8, 9, 10, 11, 12, 13  Next
Реальный 8086/88 + FPGA 
Author Message
Doomed

Joined: 16 Dec 2014 11:58
Posts: 370
Location: Киев
Reply with quote
newold86 wrote:
почему там действительно настолько больше 3.3V

3.2..3.6 там, это я считать не умею :) Все нормально.
newold86 wrote:
А на процессор после преобразователя приходит:

Звенит таки. Ладно, поглядим, хоть последовательный резистор я не забыл - и то хорошо.


01 Apr 2015 20:57
Profile
Devil

Joined: 30 Nov 2013 11:08
Posts: 706
Location: WWW
Reply with quote
Да, я поддался на провокацию и тоже неправильно посчитал :)

Насчет необходимости резисторов не уверен - их что, вообще на все линии ставить ? Ведь показанный сигнал (на последней картинке) идет по относительно короткому пути только по моей плате (правда, как раз через всю плату) от преобразователя уровня до процессора. Странно даже, что он так звенит, тогда как предыдущий сигнал, идущий по намного более длинному пути через две платы, намного чище...


01 Apr 2015 22:00
Profile
Doomed

Joined: 16 Dec 2014 11:58
Posts: 370
Location: Киев
Reply with quote
Теоретически - на все. Практически... как видите, работает :)

Звон, опять же, теоретически будет везде. Просто потому, что везде есть паразитные емкости-индуктивности. Которые образуют контур, у которого есть резонасная частота. У прямоугольного сигнала спектр очень широкий, и какая-то из гармоник близка к резонансной часоте контура - осюда колeбания. Выход - "задубить" контур резистором. Недостаток - фронты-спады растягиваются, т.е. уменьшается быстродействие. Можно также уменьшить ток драйвера (т.е. выходной ток ноги циклона, я везде минимум ставлю) - тот же эффект.
Опасен звон тем, что "плевки" колeбаний могу превысить (и превышают, как видно) допустимые напряжения на входах микросхем. Кратковременно это допускается, для циклона, например - до 4.2В при 3.3 LVTTL. Также могут быть ложные переключения, если звон уж очень большой по амплитуде.
Я тоже не заморачивался с резисторами и предусмотрел его только на линию клока. Так как там самая высокая частота, превышений уровня над допустимым будет больше всего (по времени). Проц, конечно, дубовый, по сравнению с циклоном, но кто его знает. Ну и звон увеличивает crosstalk. Блин, забыл как по-русски сказать, интермодуляция, что ли... Наводки дорожек друг на друга, короче.

Вообще говоря, причина звона - слишком крутые фронты, которые выдает циклон, т.е. присутствие высокочастотных гармоник. Для них контуром уже являются собственные емкости и идуктивности дорожек, выводов и пр. В старой технике (типа поиска, спектрума и пр.) никаких резисторов нигде не было, так как фронты были подлиннее. Может я и ошибаюсь - но по-моему так.

О каком "предыдущем" сигнале речь? На старой плате?


01 Apr 2015 23:19
Profile
Devil

Joined: 30 Nov 2013 11:08
Posts: 706
Location: WWW
Reply with quote
Vic3Dexe wrote:
О каком "предыдущем" сигнале речь? На старой плате?


Нет, на новой плате - сигнал CLK от отладочной платы до преобразователя на моей плате.

Хотя посмотрел внимательнее - возможно, общая длина линии этого сигнала даже меньше, чем длина линии последнего сигнала.


02 Apr 2015 02:54
Profile
Maniac

Joined: 18 Nov 2013 15:15
Posts: 209
Location: все оттуда ;)
Reply with quote
Скромность ... она украшает мужчину !
http://habrahabr.ru/post/254879/


03 Apr 2015 10:55
Profile
Devil

Joined: 30 Nov 2013 11:08
Posts: 706
Location: WWW
Reply with quote
Vic3Dexe wrote:
Разгонять свой не пробовали? Интересно, это вообще возможно?


Никогда разгоном не увлекался. Насчет возможности - а в чем проблемы ? Не сомневаюсь, что выше своей "паспортной" частоты процессор заработает, вопрос - насколько выше ? Но это уже количественный параметр, а качественный - уверен, в какой-то степени разогнать можно :)


03 Apr 2015 11:14
Profile
Doomed

Joined: 16 Dec 2014 11:58
Posts: 370
Location: Киев
Reply with quote
newold86 wrote:
Насчет возможности - а в чем проблемы ? Не сомневаюсь, что выше своей "паспортной" частоты процессор заработает

Мало ли, может такие старые вещи не гонятся в принципе, потому и спрашиваю, кто что знает по этому поводу :)
VGrad wrote:
http://habrahabr.ru/post/254879/

:) грандиозно


04 Apr 2015 06:06
Profile
Devil

Joined: 30 Nov 2013 11:08
Posts: 706
Location: WWW
Reply with quote
В приступе вдохновения сляпал (по другому не назовешь) вывод на телевизор с разверткой NTSC:

Attachment:
SCART.jpg
SCART.jpg [ 149.65 KiB | Viewed 9424 times ]


Attachment:
popTV.jpg
popTV.jpg [ 375.38 KiB | Viewed 9424 times ]


06 Feb 2016 05:03
Profile
Supreme God
User avatar

Joined: 21 Oct 2009 08:08
Posts: 7777
Location: Россия
Reply with quote
newold86 wrote:
В приступе вдохновения сляпал (по другому не назовешь) вывод на телевизор с разверткой NTSC:

Храню много лет две древние VGA-видеокарты, кажется, "Trident" с набором драйверов для них,
VGA2TV, кажется, якобы позволявших настроить развертку под TV-стандарт...
"Якобы" - потому как так и не случилось попробовать...

_________________
iLavr


06 Feb 2016 09:37
Profile
Devil

Joined: 30 Nov 2013 11:08
Posts: 706
Location: WWW
Reply with quote
Решил (для проверки некоторых идей) сделать упрощенный вариант модуля i8088 для моей отладочной платы FPGA. Вместо нормальных преобразователей уровня (и проблем, связанных с определением направления работы этих преобразователей в каждый текущий момент) просто поставил резисторы (использовал 330 Ом - были под рукой) на выходные сигналы процессора.

Так как используемые порты отладочной платы и так защищены диодами Шоттки, то вместе с моими резисторами получается нормальное понижение уровня до требуемых 3.3V

В обратную же сторону (от платы к процессору) вообще идет напрямую - 3.3V, выдаваемые платой, вполне достаточны для уровня '1' TTL логики.

Так как Vic3Dexe любезно дал мне попользоваться своим кодом 8288, то после минимальных переделок мой проект заработал с новой платкой процессора.

Attachment:
i8088R.jpg
i8088R.jpg [ 253.75 KiB | Viewed 9263 times ]


01 Mar 2016 10:09
Profile
Doomed

Joined: 18 Nov 2013 02:38
Posts: 662
Location: Москва
Reply with quote
А мне даже кажется, что 80C88 мог бы вполне завестись от 3.3V.

_________________
https://t.me/tronix_blog


01 Mar 2016 21:40
Profile
Devil

Joined: 30 Nov 2013 11:08
Posts: 706
Location: WWW
Reply with quote
Tronix wrote:
А мне даже кажется, что 80C88 мог бы вполне завестись от 3.3V.


В данном случае цель была не запустить конкретный экземпляр процессора, а лично :) убедиться, что такой способ сопряжения уровней нормально работает.

А вообще для этого процессора официально напряжение питания - 4.5V - 5.5V


01 Mar 2016 22:58
Profile
Doomed

Joined: 16 Dec 2014 11:58
Posts: 370
Location: Киев
Reply with quote
Поздравляю, но пару замечаний из вредности капну :)

Во-1: (5-3.3)/330 = примерно 5 мА. Умножаем на примерно 20 ног и получаем 100 мА суммарного и бесполезного тока через циклон. Лично я бы побоялся, да еще и на дорогущей плате. Сам случайно подтянул одну ногу не к 3.3В, а к 5 через 10 кОм. Ничего, понятное дело, не сгорело, но от греха подальше переделал как надо.
И, кстати, результирующие 3.3В зависят не от номинала резистора, а исключительно от наличия диода. Резистор лишь ограничивает ток.
И, кстати-2, ограничительные диоды есть не у всех циклонов, не на всех ногах и не во всех режимах этих ног. Где-то натыкался на соотв. альтеровский документ. Решил, что ну ее нафик, эту рулетку, и не полагаюсь на диоды вообще.

Во-2, клок на проц тоже приходит 3.3? Работает устойчиво? Потому как вход там ни разу не ТТЛ, что и было моими первыми граблями.


02 Mar 2016 00:37
Profile
Devil

Joined: 30 Nov 2013 11:08
Posts: 706
Location: WWW
Reply with quote
Vic3Dexe wrote:
Во-1: (5-3.3)/330 = примерно 5 мА. Умножаем на примерно 20 ног и получаем 100 мА суммарного и бесполезного тока через циклон.

В данном случае - это не так, см. далее :)

Vic3Dexe wrote:
И, кстати, результирующие 3.3В зависят не от номинала резистора, а исключительно от наличия диода. Резистор лишь ограничивает ток.

Естественно, я вроде другого и не говорил...

Vic3Dexe wrote:
И, кстати-2, ограничительные диоды есть не у всех циклонов, не на всех ногах и не во всех режимах этих ног. Где-то натыкался на соотв. альтеровский документ. Решил, что ну ее нафик, эту рулетку, и не полагаюсь на диоды вообще.

На данной плате разъем GPIO защищен внешними диодами (они даже видны на фото - трехногие), поэтому насчет режимов и тока через Cyclone можно не переживать (пока, естественно, не сожжешь эти самые внешние диоды :) )

Vic3Dexe wrote:
Во-2, клок на проц тоже приходит 3.3? Работает устойчиво? Потому как вход там ни разу не ТТЛ, что и было моими первыми граблями.

Я обнаружил это примечание в datasheet'е ровно через минуту после того, как плата ушла в производство. Решил, что в крайнем случае подтяну CLK к 5V навесным монтажом, но вроде устойчиво работает и без этого. Наверное, еще попробую погонять на максимальной тактовой частоте.


02 Mar 2016 00:57
Profile
Doomed

Joined: 16 Dec 2014 11:58
Posts: 370
Location: Киев
Reply with quote
newold86 wrote:
Естественно, я вроде другого и не говорил...

Я эту фразу
newold86 wrote:
то вместе с моими резисторами получается нормальное понижение уровня до требуемых 3.3V

прочитал как то, что резисторы дали требуемое понижение.

С диодами - понятно, я совсем забыл, что на плате дополнительные.

Vic3Dexe wrote:
Наверное, еще попробую погонять на максимальной тактовой частоте.

А сейчас сколько? Мой 8086-1 (номинал 10 МГц) уверенно глючил уже на 8.


02 Mar 2016 01:20
Profile
Display posts from previous:  Sort by  
Reply to topic   [ 193 posts ]  Go to page Previous  1 ... 8, 9, 10, 11, 12, 13  Next

Who is online

Users browsing this forum: No registered users and 9 guests


You cannot post new topics in this forum
You cannot reply to topics in this forum
You cannot edit your posts in this forum
You cannot delete your posts in this forum
You cannot post attachments in this forum

Search for:
Jump to:  
Powered by phpBB® Forum Software © phpBB Group
Designed by ST Software.