nedoPC.org

Electronics hobbyists community established in 2002
Atom Feed | View unanswered posts | View active topics It is currently 28 Mar 2024 13:57



Reply to topic  [ 27 posts ]  Go to page 1, 2  Next
[Z180] Тактовая Z8S18033 
Author Message
Doomed
User avatar

Joined: 11 Dec 2003 14:34
Posts: 413
Reply with quote
Привет всем!

Прочитал тут в "Z80180x Family MPU. User Manual", страница 168 (конец). Вырезка ниже:

------- cut -----------
On-Chip Clock Generator

The Z8X180 contains a crystal oscillator and system clock generator. A crystal can be directly connected or an external clock input can be
provided. In either case, the system clock is equal to one-half the input clock. For example, a crystal or external clock input of 8 MHz
corresponds with a system clock rate of 4 MHz.

Z8S180 and Z8L180-class processors also have the ability to run at X1 and X2 input clock.

Table 25 describes the AT cut crystal characteristics (Co, Rs) and the load capacitance (CL1, CL2) required for various frequencies of Z8X180
operation.

If an external clock input is used instead of a crystal, the waveform (twice the clock rate) must exhibit a 50% + 10% duty cycle.

Note: The minimum clock input High voltage level is VCC -0.6V. The external clock input is connected to the EXTAL pin,
while the XTAL pin is left open. Figure 70 depicts the external clock interface.
----------------------


Выходит для того, чтобы камень работал на 33МГц, надо юзать кварц или внешнюю тактовую на 66МГц ?.
Я правильно понял этот англицкий ?.

_________________
Vasil Ivanov
vasil-i@yandex.ru


11 Sep 2010 22:41
Profile
Admin
User avatar

Joined: 08 Jan 2003 23:22
Posts: 22412
Location: Silicon Valley
Reply with quote
Post 
Я нашёл, что есть бит управления X2 - который внутреннюю частоту делает в 2 раза больше входной частоты:

Quote:
Bit 7. X2 Clock Multiplier Mode. When this bit is set to 1,
this allows the programmer to double the internal clock
from that of the external clock. This feature will only oper-
ated effectively with frequencies of 10-16 MHz (20-32MHz
internal). When this bit is set to 0, the
Z80180/Z8S180/Z8L180 device will operate in normal
mode. Upon powerup, this feature is disabled.


Отсюда также можно сделать вывод, что в нормальном режиме (который сразу после включения устанавливается) - внешняя частота равна внутренней...

P.S. Обычно если пишут что девайс 33 МГц - это значит что кристалл именно 33 МГц надо цеплять

_________________
:dj: https://mastodon.social/@Shaos


12 Sep 2010 05:41
Profile WWW
Doomed
User avatar

Joined: 11 Dec 2003 14:34
Posts: 413
Reply with quote
Post 
Shaos wrote:
Я нашёл, что есть бит управления X2 - который внутреннюю частоту делает в 2 раза больше входной частоты:

Quote:
Bit 7. X2 Clock Multiplier Mode. When this bit is set to 1,
this allows the programmer to double the internal clock
from that of the external clock. This feature will only oper-
ated effectively with frequencies of 10-16 MHz (20-32MHz
internal). When this bit is set to 0, the
Z80180/Z8S180/Z8L180 device will operate in normal
mode. Upon powerup, this feature is disabled.


Отсюда также можно сделать вывод, что в нормальном режиме (который сразу после включения устанавливается) - внешняя частота равна внутренней...

P.S. Обычно если пишут что девайс 33 МГц - это значит что кристалл именно 33 МГц надо цеплять


Понял, спасибо за инфу!

_________________
Vasil Ivanov
vasil-i@yandex.ru


12 Sep 2010 10:44
Profile
Admin
User avatar

Joined: 08 Jan 2003 23:22
Posts: 22412
Location: Silicon Valley
Reply with quote
Post 
Vasil Ivanov wrote:
Понял, спасибо за инфу!


А я наоборот запутался ;)

Стал копать в интернете и накопал вот что:

http://www.rabbit.com/etc/Z180.shtml

Quote:
Rabbit microprocessors share their instruction set with the popular Z180 microprocessor. Rabbit processors are high-performance, 8-bit microprocessors distinguished by a C-friendly instruction set and fast number-crunching ability.


у этих кроликов есть плата на Z8S180, работающая на частоте 18.432 МГц:

http://www.rabbit.com/products/op7100/
http://www.rabbit.com/products/op7100/docs.shtml

глядя в схему видим, что кварц воткнут на те самые 18.432:

http://www.rabbit.com/documentation/sch ... 0-0071.pdf

вот описалово платы:

http://www.rabbit.com/documentation/doc ... 7100UM.pdf

и там как бы подразумевается, что частота процессора - 18.432 (от неё считаются скорости RS-232), но я не нашёл ничего про режим "X2"

значит ли это, что частота кварца равна частоте процессора? или там X2 режим? непонятно...

_________________
:dj: https://mastodon.social/@Shaos


12 Sep 2010 18:23
Profile WWW
Doomed
User avatar

Joined: 11 Dec 2003 14:34
Posts: 413
Reply with quote
Post 
Shaos wrote:
Vasil Ivanov wrote:
Понял, спасибо за инфу!


А я наоборот запутался ;)

Стал копать в интернете и накопал вот что:

http://www.rabbit.com/etc/Z180.shtml

Quote:
Rabbit microprocessors share their instruction set with the popular Z180 microprocessor. Rabbit processors are high-performance, 8-bit microprocessors distinguished by a C-friendly instruction set and fast number-crunching ability.


у этих кроликов есть плата на Z8S180, работающая на частоте 18.432 МГц:

http://www.rabbit.com/products/op7100/
http://www.rabbit.com/products/op7100/docs.shtml

глядя в схему видим, что кварц воткнут на те самые 18.432:

http://www.rabbit.com/documentation/sch ... 0-0071.pdf

вот описалово платы:

http://www.rabbit.com/documentation/doc ... 7100UM.pdf

и там как бы подразумевается, что частота процессора - 18.432 (от неё считаются скорости RS-232), но я не нашёл ничего про режим "X2"

значит ли это, что частота кварца равна частоте процессора? или там X2 режим? непонятно...


А ты не смотрел в мануале на Z8S180xx описание внутренних портов этого MPU, где настраиваются его разные параметры ?

_________________
Vasil Ivanov
vasil-i@yandex.ru


18 Sep 2010 05:06
Profile
Admin
User avatar

Joined: 08 Jan 2003 23:22
Posts: 22412
Location: Silicon Valley
Reply with quote
Post 
В другом мануале про Z8S180/Z8L180 нашёл более внятное описание бита 7 в регистре CCR (I/O Address = 1FH):
Quote:
Bit 7. Clock Divide Select. If this bit is 0, as it is after a RESET, the Z8S180/Z8L180 divides the frequency on the XTAL pin(s) by two to obtain its Master clock PHI. If this bit is programmed as 1, the part uses the XTAL frequency as PHI without division.

If an external oscillator is used in divide-by-one mode, the minimum pulse width requirement provided in the AC Characteristics must be satisfied.


По поводу доступа к управляющим регистрам мануал говорит следующее:

Quote:
By programming IOA7 and IOA6 as the I/O control register, internal I/O register addresses are relocatable withing ranges from 0000H to 00FFG in the I/O address space.


Как я понимаю доступ к ним идёт через порты, но обращаться надо по 16-битному адресу, т.е. к регистру CCR надо обращаться по адресу 0x001F с помощью 16-битного обращения OUT (C),A либо с помощью новых команд OUT0 и т д, которые обнуляют старший байт. А если юзать обычный OUT, то он кладёт в старший байт содержимое регистра А (если я правильно понял мануал).

IOA7 и IOA6 из управляющего регистра ICR (3FH) позволяют двигать область системных регистров вверх-вниз:
Code:
IOA7=1 IOA6=1 -> 00C0H...00FFH
IOA7=1 IOA6=0 -> 0080H...00BFH
IOA7=0 IOA6=1 -> 0040H...007FH
IOA7=0 IOA6=0 -> 0000H...003FH

_________________
:dj: https://mastodon.social/@Shaos


18 Sep 2010 06:55
Profile WWW
Doomed
User avatar

Joined: 11 Dec 2003 14:34
Posts: 413
Reply with quote
Post 
Shaos wrote:
В другом мануале про Z8S180/Z8L180 нашёл более внятное описание бита 7 в регистре CCR (I/O Address = 1FH):
Quote:
Bit 7. Clock Divide Select. If this bit is 0, as it is after a RESET, the Z8S180/Z8L180 divides the frequency on the XTAL pin(s) by two to obtain its Master clock PHI. If this bit is programmed as 1, the part uses the XTAL frequency as PHI without division.

If an external oscillator is used in divide-by-one mode, the minimum pulse width requirement provided in the AC Characteristics must be satisfied.


По поводу доступа к управляющим регистрам мануал говорит следующее:

Quote:
By programming IOA7 and IOA6 as the I/O control register, internal I/O register addresses are relocatable withing ranges from 0000H to 00FFG in the I/O address space.


Как я понимаю доступ к ним идёт через порты, но обращаться надо по 16-битному адресу, т.е. к регистру CCR надо обращаться по адресу 0x001F с помощью 16-битного обращения OUT (C),A либо с помощью новых команд OUT0 и т д, которые обнуляют старший байт. А если юзать обычный OUT, то он кладёт в старший байт содержимое регистра А (если я правильно понял мануал).

IOA7 и IOA6 из управляющего регистра ICR (3FH) позволяют двигать область системных регистров вверх-вниз:
Code:
IOA7=1 IOA6=1 -> 00C0H...00FFH
IOA7=1 IOA6=0 -> 0080H...00BFH
IOA7=0 IOA6=1 -> 0040H...007FH
IOA7=0 IOA6=0 -> 0000H...003FH


Т.е. если 7-й бит в регистре CCR сброшен (по-умолчанию), то с выхода PHI получаем входную тактовую частоту деленную на два.
Если 7-й бит установлен, то с PHI выходит частота, равная входной тактовой.

Я так же, как и ты понял мануал. MPU к своим внутр. портам обращается по адресу в 16-bit и ст.байт должен быть нулевым.

А перемещать область размещения сист. регистров с 0000-003Fh (по-умолчанию) в другую - фактически в этом нет необходимости, после рестарта не надо заниматься еще и этими настройками (будет чем другим заняться).

_________________
Vasil Ivanov
vasil-i@yandex.ru


18 Sep 2010 10:54
Profile
Admin
User avatar

Joined: 08 Jan 2003 23:22
Posts: 22412
Location: Silicon Valley
Reply with quote
Post 
Shaos wrote:
Я нашёл, что есть бит управления X2 - который внутреннюю частоту делает в 2 раза больше входной частоты:

Quote:
Bit 7. X2 Clock Multiplier Mode. When this bit is set to 1,
this allows the programmer to double the internal clock
from that of the external clock. This feature will only oper-
ated effectively with frequencies of 10-16 MHz (20-32MHz
internal). When this bit is set to 0, the
Z80180/Z8S180/Z8L180 device will operate in normal
mode. Upon powerup, this feature is disabled.


Отсюда также можно сделать вывод, что в нормальном режиме (который сразу после включения устанавливается) - внешняя частота равна внутренней...

P.S. Обычно если пишут что девайс 33 МГц - это значит что кристалл именно 33 МГц надо цеплять


По новому взглянул на вышеприведённый абзац - по сути он говорит, что умножая входную частоту на 2, мы ограничиваем использование кристалла в 10-16 МГц, т.е.X2 управляет лишь умножением частоты самого кристалла, для экономии стоимости на кристалла (медленный дешевле быстрого), а делаее PHI всё равно делится на 2 от входящей (которая до 33 МГц в нормальном режиме или до 16 МГц в режиме X2), т.е. Zilog мягко говоря вводит народ в заблуждение со своими маркировками частот, т.к. максимальная тактовая частота 20-мегагерцового процыка всё-таки 10 МГц, а 33-мегагерцового - 16.5 МГц :(

P.S. Согласно мануалу NOP выполняется за 3 такта PHI, а LD r,r - за 4, выходит что 33 МГц процессор способен делать лишь 4.1 миллиона MOV-ов в секунду (8 тактов частоты внешнего осцилятора) или 5.5 миллионов NOP-ов (6 тактов)...

P.P.S. Встроенный DMA также считается от PHI - 6 тактов на байт 3 на чтение, 3 на запись) или 12 тактов, если считать от внешней частоты - т.е. 2.6 Мбайт в секунду для 33-мегагерцового процыка (но это всё равно в 2 с лишним раза быстрее чем LDIR).

_________________
:dj: https://mastodon.social/@Shaos


24 May 2013 05:41
Profile WWW
Doomed
User avatar

Joined: 11 Dec 2003 14:34
Posts: 413
Reply with quote
Post 
Shaos wrote:
Shaos wrote:
Я нашёл, что есть бит управления X2 - который внутреннюю частоту делает в 2 раза больше входной частоты:

Quote:
Bit 7. X2 Clock Multiplier Mode. When this bit is set to 1,
this allows the programmer to double the internal clock
from that of the external clock. This feature will only oper-
ated effectively with frequencies of 10-16 MHz (20-32MHz
internal). When this bit is set to 0, the
Z80180/Z8S180/Z8L180 device will operate in normal
mode. Upon powerup, this feature is disabled.


Отсюда также можно сделать вывод, что в нормальном режиме (который сразу после включения устанавливается) - внешняя частота равна внутренней...

P.S. Обычно если пишут что девайс 33 МГц - это значит что кристалл именно 33 МГц надо цеплять


По новому взглянул на вышеприведённый абзац - по сути он говорит, что умножая входную частоту на 2, мы ограничиваем использование кристалла в 10-16 МГц, т.е.X2 управляет лишь умножением частоты самого кристалла, для экономии стоимости на кристалла (медленный дешевле быстрого), а делаее PHI всё равно делится на 2 от входящей (которая до 33 МГц в нормальном режиме или до 16 МГц в режиме X2), т.е. Zilog мягко говоря вводит народ в заблуждение со своими маркировками частот, т.к. максимальная тактовая частота 20-мегагерцового процыка всё-таки 10 МГц, а 33-мегагерцового - 16.5 МГц :(

P.S. Согласно мануалу NOP выполняется за 3 такта PHI, а LD r,r - за 4, выходит что 33 МГц процессор способен делать лишь 4.1 миллиона MOV-ов в секунду (8 тактов частоты внешнего осцилятора) или 5.5 миллионов NOP-ов (6 тактов)...

P.P.S. Встроенный DMA также считается от PHI - 6 тактов на байт 3 на чтение, 3 на запись) или 12 тактов, если считать от внешней частоты - т.е. 2.6 Мбайт в секунду для 33-мегагерцового процыка (но это всё равно в 2 с лишним раза быстрее чем LDIR).


Знаешь первое, что приходит в голову... забросить этот z8s180xx и использовать корку z80 на плис-е. Я в шоке.

P.S.
Это что же получается, камень z8s18033 работает медленнее камня Спринтера ?. Или тот тоже работает на 21/2 МГц ?.

_________________
Vasil Ivanov
vasil-i@yandex.ru


26 May 2013 10:11
Profile
Admin
User avatar

Joined: 08 Jan 2003 23:22
Posts: 22412
Location: Silicon Valley
Reply with quote
Post 
У Спринтера шина данных всё тормозила - у нее частота 7 МГц. Другой вопрос что процессор не в каждом такте к шине данных обращается, поэтому петерсплюсовцы говорили что частота процессора в Спринтере в среднем оценивалась в районе 12 МГц - т.е. по сути примерно тоже, что я хочу - Z180 на частоте 25.175 МГц.

P.S. А потом можно попробовать Rabbit (по сути продолжение Z180 с полным конвеером) или eZ80 (там тоже конвеер).

_________________
:dj: https://mastodon.social/@Shaos


Last edited by Shaos on 27 May 2013 18:23, edited 1 time in total.



26 May 2013 11:25
Profile WWW
Doomed
User avatar

Joined: 11 Dec 2003 14:34
Posts: 413
Reply with quote
Post 
Shaos wrote:
У Спринтера шина данных всё тормозила - у нее частота 7 МГц.


Эти тормоза из-за симмки (больше 7 МГц не тянет), не из-за камня.

Quote:
петерсплюсовцы говорили что частота процессора в Спринтере в среднем оценивалась в районе 12 МГц - т.е. по сути примерно тоже, что я хочу - Z180 на частоте 25.175 МГц.


Я так понимаю, это ты о своем спринтер-совместимом компе ведешь речь.

Quote:
P.S. А потом можно попробовать Rabbit (по сути продолжение Z180 с полным конвеером) или eZ80 (там тоже конвеер).


Первым еще не интересовался, а у второго - уже совсем другие мнемоники команд, потребуется дополнительные усилия на изучение, что как правило бывает "влом". Хотя помню пару eZ80 в элитане купил (по 504 руб/шт).

_________________
Vasil Ivanov
vasil-i@yandex.ru


27 May 2013 01:41
Profile
Admin
User avatar

Joined: 08 Jan 2003 23:22
Posts: 22412
Location: Silicon Valley
Reply with quote
Post 
Vasil Ivanov wrote:
Shaos wrote:
У Спринтера шина данных всё тормозила - у нее частота 7 МГц.


Эти тормоза из-за симмки (больше 7 МГц не тянет), не из-за камня.

Ну камень то ведь тоже тормозился :)

Vasil Ivanov wrote:
Quote:
петерсплюсовцы говорили что частота процессора в Спринтере в среднем оценивалась в районе 12 МГц - т.е. по сути примерно тоже, что я хочу - Z180 на частоте 25.175 МГц.


Я так понимаю, это ты о своем спринтер-совместимом компе ведешь речь.

Угу - пока по прикидкам всё красиво получается :roll:

_________________
:dj: https://mastodon.social/@Shaos


27 May 2013 05:42
Profile WWW
Admin
User avatar

Joined: 08 Jan 2003 23:22
Posts: 22412
Location: Silicon Valley
Reply with quote
Post 
Shaos wrote:
Shaos wrote:
Я нашёл, что есть бит управления X2 - который внутреннюю частоту делает в 2 раза больше входной частоты:

Quote:
Bit 7. X2 Clock Multiplier Mode. When this bit is set to 1,
this allows the programmer to double the internal clock
from that of the external clock. This feature will only oper-
ated effectively with frequencies of 10-16 MHz (20-32MHz
internal). When this bit is set to 0, the
Z80180/Z8S180/Z8L180 device will operate in normal
mode. Upon powerup, this feature is disabled.


Отсюда также можно сделать вывод, что в нормальном режиме (который сразу после включения устанавливается) - внешняя частота равна внутренней...

P.S. Обычно если пишут что девайс 33 МГц - это значит что кристалл именно 33 МГц надо цеплять


По новому взглянул на вышеприведённый абзац - по сути он говорит, что умножая входную частоту на 2, мы ограничиваем использование кристалла в 10-16 МГц, т.е.X2 управляет лишь умножением частоты самого кристалла, для экономии стоимости на кристалла (медленный дешевле быстрого), а делаее PHI всё равно делится на 2 от входящей (которая до 33 МГц в нормальном режиме или до 16 МГц в режиме X2), т.е. Zilog мягко говоря вводит народ в заблуждение со своими маркировками частот, т.к. максимальная тактовая частота 20-мегагерцового процыка всё-таки 10 МГц, а 33-мегагерцового - 16.5 МГц :(


С другой стороны в даташите в табличке с временами указано Clock Cycle Time как 50 нс для 20 МГц камня и 30 нс для 33 МГц - на диаграмме этому параметру соответствует именно период тактового сигнала PHI (который вроде как должен быть уже делённый на 2 от частоты кварца) - получается что частота PHI всё-таки 20 МГц и 33 МГц?...

_________________
:dj: https://mastodon.social/@Shaos


01 Jun 2013 20:21
Profile WWW
Doomed
User avatar

Joined: 11 Dec 2003 14:34
Posts: 413
Reply with quote
Post 
Shaos wrote:
С другой стороны в даташите в табличке с временами указано Clock Cycle Time как 50 нс для 20 МГц камня и 30 нс для 33 МГц - на диаграмме этому параметру соответствует именно период тактового сигнала PHI (который вроде как должен быть уже делённый на 2 от частоты кварца) - получается что частота PHI всё-таки 20 МГц и 33 МГц?...


Да, видел это. Смотрим ниже из "CPU Timing":
Quote:
The basic CPU operation consists of one or more Machine Cycles (MC).
A machine cycle consists of three system clocks, T1, T2, and T3 while
accessing memory or I/O, or it consists of one system clock (T1) during
CPU internal operations. The system clock is half the frequency of the
Crystal oscillator (that is, an 8-MHz crystal produces 4 MHz or 250 nsec).


Выходит, что если нам надо выйти на уровень 30nsec "Clock Cycle Time" (внутренняя частота операций) по таблице, необходимо подавать на тактовый пин камня 66МГц ?.
А вообще, чтобы закрыть этот вопрос раз и навсегда, думаю без сборки дев-борды (с минимальной обвязкой для камня) и проверки осциллом периодов на пинах камня, не обойтись. Кроме всего прочего, можно проверить и тактовую на входе 66МГц. Сразу будет видно, что там и как делится. Если у меня руки дойдут до этого, то наваяю такую борду. Самому хотелось бы определиться раз и навсегда с этим вопросом. Но это в будущем, не сейчас.
Я вот о чем думаю - даже если z180-й будет работать на внутренней в 33МГц, корка z80 в ПЛИС все-равно быстрее будет. Компилил для самого медленного шестого спартана (спидгрей 2) корку от Speccy-2010 (дополнена обработка недокум. опкодов), получал макс. 82МГц тактовой. На 75-80МГц можно реально работать в железе. Я в своем компе на счет текстового режима не беспокоюсь, а вот в графике... заполнение 640x480 и 800x600 экранов точно потребует как можно большей производительности камня.

_________________
Vasil Ivanov
vasil-i@yandex.ru


16 Nov 2013 03:06
Profile
Doomed
User avatar

Joined: 11 Dec 2003 14:34
Posts: 413
Reply with quote
Post 
Shaos wrote:
С другой стороны в даташите в табличке с временами указано Clock Cycle Time как 50 нс для 20 МГц камня и 30 нс для 33 МГц - на диаграмме этому параметру соответствует именно период тактового сигнала PHI (который вроде как должен быть уже делённый на 2 от частоты кварца) - получается что частота PHI всё-таки 20 МГц и 33 МГц?...


Слушай, ты же ведь заказывал себе п/платки для z180-го, ну и запусти его на одной из этих платок, поэкспериментируй - осцил (лучше цифровой) даст нам ответы на все вопросы.

_________________
Vasil Ivanov
vasil-i@yandex.ru


16 Nov 2013 03:34
Profile
Display posts from previous:  Sort by  
Reply to topic   [ 27 posts ]  Go to page 1, 2  Next

Who is online

Users browsing this forum: No registered users and 5 guests


You cannot post new topics in this forum
You cannot reply to topics in this forum
You cannot edit your posts in this forum
You cannot delete your posts in this forum
You cannot post attachments in this forum

Search for:
Jump to:  
Powered by phpBB® Forum Software © phpBB Group
Designed by ST Software.