nedoPC.org

Electronics hobbyists community established in 2002
Atom Feed | View unanswered posts | View active topics It is currently 28 Mar 2024 05:08



Reply to topic  [ 63 posts ]  Go to page 1, 2, 3, 4, 5  Next
SpetSvga-2011 --> ТурбоСпец/MiniWhiz-2023 
Author Message
Admin
User avatar

Joined: 08 Jan 2003 23:22
Posts: 22409
Location: Silicon Valley
Reply with quote
Всё началось в середине 2011 года, когда я решил не захламлять тему (уже несуществующую) про хардваремановский специалист (см. тут, там и вон там) и создал отдельный топик про свою вариацию на тему хардваремановской макетки в печатке с клавой на борту и картриджем (см. схему тут). В-краце о компьютере - как и в хардваремановском варианте это клон Специалиста и Специалиста-MX (за исключением некоторых мелочей, которые могут быть добавлены позже) с двумя возможными процессорами Z80 или 8080 (только один из них должен быть установлен в панельки, причём вместе с 8080 надо также ставить микросхему DD4), работающий на частоте 2.5 МГц. Компьютер выдаёт сигнал в режиме SVGA 800x600@60Hz. Из моих нововведений - стандартная клавиатура Специалиста предполагается быть смонтирована непосредственно на плате компьютера, имеется больше памяти (включая теневой экран) и интерфейс для 512Кбайтного картриджа, пятицвет и восьмицвет могут переключаться и программно, и вручную (кнопочками т.к. цветные игры не знают на чём они запущены и цветность надо будет пробовать экспериментально - ручками).

На борту имеется ПЗУ 64К (две половинки - 32К для стандартного режима и 32К для MX), 32K ОЗУ цвета (две половинки по 16К которые могут переключаться), 128К основное и видео ОЗУ (две половинки по 64К которые могут переключаться), 512К дополнительное ОЗУ (виртуальный диск с 8 страницами по 65472 байта) и опционально картридж 512К (ещё 8 страниц виртуального диска).

Шины данных и адреса не буферизированы. Сигнал RD буферизирован в случае 8080 (DD4.4). На буферизацию WR идёт один из OR-элементов 74ACT32 (DD50.4), выход которого может прокачивать до 60 ТТЛ входов. Также ACT серию придётся поставить на DD1 и DD2 - из-за скорости (ACT умеет до 90 МГц), а всё остальное (кроме 7406 и 74LS295A) - это HCT (быстрый CMOS с TTL-входами), т.к. я всё ещё надеюсь на батарейное питание :roll:

Сигнал BLNK подан через резистор на вход B0 клавиатурного ВВ55 (там где обычно вход магнитофона сидит) - теперь программно можно узнать когда обратный ход луча пошёл (BLNK=0?), чтобы переключать экраны (а их у нас два), например для мультиколора ;)

Заодно я перепечатываю сюда всё то, что HardWareMan писал про устройство и работу его специалиста:

HardWareMan wrote:
Так вот, карта памяти следующая. По сбросу включен Mode_3, который на самом деле является стандартным специалистом. При этом, порт клавиатуры расположен по адресам F800-FFFF, весь набор портов по адресам F000-F7FF, 8 устройств по 4 ячейки. При этом, клавиатурный ППА доступен так же по адреса F000-F003 и так далее до F7E0..F7E3. Для аналогии с режимом МХа, предлагаю использовать именно F7E0..F7FF для обращения к портам. Младшие 32КБ занимает ПЗУ, которое может быть отключено (по сбросу оно включается автоматически). Остальную область занимает основное ОЗУ с экраном. ПЗУ в Mode_3 может быть отключено... Сам регистр режимов доступен по адресам F7FC-F7FF в режиме Mode_3 и FFFC-FFFF в остальных 3х (Mode_0, Mode_1 и Mode_2 - это режимы МХа). При этом, Mode_0 соответствует выключению ПЗУ и RAM диска в режиме МХ, Mode_1 соответствет включению RAM диска в режиме МХ (при этом я пока сохранил дешифрацию МХа: диск занимает с 0000 по FFBF, в своем скорее всего порежу диск до 0000-FEFF, что упростит схему дешифратора), а Mode_2 соответствет включению внутреннего ПЗУ в режиме МХ. Замечу, что ПЗУ стоит на 64КБ (можно и больше, но уже странично), а в карте процессора он занимает всего 32КБ. Т.е., в ПЗУ 2 страницы по 32КБ и каждая из них соответствет своему режиму (т.е., для стандартного это 0000-7FFF в ПЗУ, а для МХа - 8000-FFFF), что позволяет записывать уникальный софт для каждого режима.

Процесс загрузки я уже оглашал: по сбросу режим стандартного спеца, там пускается софт выбора режима, по типу спектрума128, если выбирается стандартный - то грузится система с карты (или ПЗУ, если карта не вставлена, круто же?) и ПЗУ отключается. Либо формируется шлюз в окне процессора (FFC0-FFDF), который переключает режим на Mode_2 и прыгает в 0000, запуская ПЗУ в режиме МХа. Как видно, все просто и логично. Думаю, карту памяти все представили и рисовать ее нет необходимости. С портами тоже все прозрачно.

.....

Карта памяти уже обсуждалась мной ранее, я лишь напомню ее области:

[Режим 0: MX RAM (запись в FFFCH)]
0000H - FFDFH = Основное ОЗУ.
FFE0H - FFFFH = Порты ввода-вывода

[Режим 1: MX EXT RAM (запись в FFFDH)]
0000H - FFBFH = Дополнительное ОЗУ
FFC0H - FFDFH = Основное ОЗУ (шлюз)
FFE0H - FFFFH = Порты ввода-вывода

[Режим 2: MX ROM (запись в FFFEH)]
0000H - 7FFFH = ПЗУ МХа, включая систему в первых 16КБ
8000H - FFDFH = Основное ОЗУ
FFE0H - FFFFH = Порты ввода-вывода

[Режим 3: STD (запись в FFFFH или по сбросу)]
0000H - 7FFFH = ПЗУ (секция STD) или основное ОЗУ, управление: FFFAH
8000H - EFFFH = Основное ОЗУ
F000H - FFFFH = Порты ввода-вывода, причем в области F800H-FFFFH блокируется на ППА клавиатуры

.....

По сбросу там 0, т.е. ROM включен и 5 цвет выбран. ПЗУ для текущего варианта. Монитор v4 (который мы пользовали на кружке). Выход в него стандартный - СТР+ВК (надо будет пофиксить для автозапуска, так как без магнитофона автозагрузка не нужна). Расширенные директивы:
{B} - Basic "Практик"
{E} - Редактор текстов "Практик" (aka ED^7000)
{A} - Ассемблер "Микрон", адаптированный на работу с редактором "Практик" и перенесенный в 8000H
{Z} - Дизассемблер "Микрон", адаптированный на работу с редактором "Практик" и перенесенный в 8000H
{X} - Переход в режим МХ.
Ассемблер и дизассемблер что-то партачат - там со стеком чтото я перемудрил видимо, при работе на оригинальном Спеце он работает а вот на моем (с ОЗУ заместо ПЗУ) подвисает, потом пофиксю. Так что не пользуйте их. Остальное работает нормально. ОЗУ не портится при сбросе.

Карта памяти в режиме стандарт:
0000H - 7FFFH = ROM/RAM
8000H - EFFFH = RAM
F000H - F7FFH = IOPs
F800H - FFFFH = SYSPPA (IOP.#0)
ПЗУ нужно только для старта (там же я держу бэйсик, редактор и асм с дасмом, загружая их оттуда в ОЗУ по расширенным директивам Монитора v4), оно же загружает монитор и ПСПЗУ в родные адреса.

..............

Итак, поменял я 561ИЕ10 в строке на связку 1533ИЕ10. Глюк в цвете фона сразу же пропал! Более того, я выяснил, что за глюк с точками слева и пропавшими точками справа! Оказалось, 555ИР16 (сдвиг) пишет по спаду, а ТМ8 (атрибуты) по фронту. Как итог - полточки рассинхронизации + задержки в логике = почти на точку опаздывал сдвиг относительно атрибутов! Атрибуты были строго на своем месте, а точки опаздывали... Так что этот узел надо переделать. Подобрать более внятные регистры (один на сдвиг и один на хранение атрибутов), желательно полностью синхронные... Кстати, можно сделать бордюр как у спектрума...


Адреса в удобоваримой форме:

Code:
         | MX-mode     | STD-mode (default)
0) KEY   | FFE0...FFE3 | F7E0...F7E3, F800...FFFF
1) PROG  | FFE4...FFE7 | F7E4...F7E7
2) DISK  | FFE8...FFEB | F7E8...F7EB
3) TIMER | FFEC...FFEF | F7EC...F7EF
4) CARD  | FFF0...FFF3 | F7F0...F7F3
5) RSRV  | FFF4...FFF7 | F7F4...F7F7
6) COL   | FFF8...FFFB | F7F8...F7FB
7) MOD   | FFFC...FFFF | F7FC...F7FF

DISK и RSRV  не используется в схеме HardWareMan-a
TIMER и CARD отсутствуют на макетке HardWareMan-a, но есть в схеме fifan-a
в STD режиме порты повторяются каждые 32 байта начиная с F000 до F7FF
в реальном MX вместо CARD расположена вторая область контроллера дисковода

Порт COL:
STD:
   D0 = 0 - включить ROM, 1 - выключить ROM
   D1 = 0 - пятицвет, 1 -  восьмицвет
   D2 = 0 - основная страница ОЗУ и цвета, 1 - теневая страница
MX:
   D0-D3 => цвет фона (IRGB)
   D4-D7 => цвет изображения (IRGB)


При записи в FFFDh (Mode1) учитываются 4 младшие бита, которые записываются по этому адресу (по схеме fifan-a только 3) - они задают страницу дополнительного ОЗУ (в моём варианте страницы от 8 до 15 - это картридж).

Все микросхемы в SOIC (если не указано иного) и нумерация в основном совпадает с хардваремановской (но НЕ совпадает с платой MX^2 от Фифана):
 SpetSvga-2011
DD1 - 74ACT161
DD2 - 74ACT08
DD3 - 74HCT00
DD4 - 7406 (DIP) - убирается в случае Z80
DD5 - 74HCT161
DD6 - 74HCT00
DD7 - 74HCT08
DD8 - 74HCT74
DD9 - 74HCT4520 (???)
DD10 - 74HCT93
DD11 - 74HCT30
DD12 - 74HCT30
DD13 - 74HCT02
DD14 - 74HCT74
DD15 - 74HCT257
DD16 - 74HCT257
DD17 - 74HCT257
DD18 - 74HCT257
DD19 - CY62128 (128K)
DD20 - CY62256 (32K)
DD21 - 74LS295 (DIP)
DD22 - 74LS295 (DIP)
DD23 - 74HCT273
DD24 - 74HCT175
DD25 - 74HCT257
DD26 - 74HCT245
DD27 - 74HCT573
DD28 - i8080 (DIP) или z80 (PLCC) - в разных панельках
DD29 - M27C512 (64K) - DIP с УФ стиранием
DD30 - TC554001 (512K)
DD31 - 74HCT32
DD32 - 74HCT04
DD33 - 74HCT21
DD34 - 74HCT08
DD35 - 74HCT11
DD36 - 74HCT30
DD37 - 74HCT08
DD38 - 74HCT138
DD39 - i8255 (PLCC)
DD40 - 74HCT74
DD41 - 74HCT74
DD42 - 74HCT74
DD43 - 74HCT04
DD44 - 74HCT86
DD45 - 74HCT00
DD46 - 74HCT02
DD47 - 74HCT244
DD48 - 74HCT161
DD49 - i8255 (PLCC)
DD50 - 74ACT32


P.S. Развитие Специалиста MX^2 до спецификации MiniWhiz-2023 можно найти тут: http://www.nedopc.org/forum/viewtopic.php?p=168599#p168599

_________________
:dj: https://mastodon.social/@Shaos


Last edited by Shaos on 10 Jun 2011 06:05, edited 13 times in total.



09 Jun 2011 02:08
Profile WWW
Devil

Joined: 06 Oct 2006 03:17
Posts: 856
Location: г.Лянтор,Сургутского р-на,ХМАО
Reply with quote
Shaos wrote:
Code:
         | MX-mode     | STD-mode (default)
0) KEY   | FFE0...FFE3 | F7E0...F7E3, F800...FFFF
1) PROG  | FFE4...FFE7 | F7E4...F7E7
2) DISK  | FFE8...FFEB | F7E8...F7EB
3) TIMER | FFEC...FFEF | F7EC...F7EF
4) CARD  | FFF0...FFF3 | F7F0...F7F3
5) RSRV  | FFF4...FFF7 | F7F4...F7F7
6) COL   | FFF8...FFFB | F7F8...F7FB
7) MOD   | FFFC...FFFF | F7FC...F7FF



Поправка: в STD режиме устройств кроме KEY и PROG не существует. Да ещё COL HardWareMan ввёл для переключения цветов 5/8.


09 Jun 2011 05:36
Profile
Admin
User avatar

Joined: 08 Jan 2003 23:22
Posts: 22409
Location: Silicon Valley
Reply with quote
fifan wrote:
Поправка: в STD режиме устройств кроме KEY и PROG не существует. Да ещё COL HardWareMan ввёл для переключения цветов 5/8.


А я так понял, что существует (например COL и MOD)
По COL инфа есть чуть ниже этой таблицы

_________________
:dj: https://mastodon.social/@Shaos


09 Jun 2011 05:42
Profile WWW
Supreme God
User avatar

Joined: 21 Oct 2009 08:08
Posts: 7777
Location: Россия
Reply with quote
Shaos wrote:
fifan wrote:
Поправка: в STD режиме устройств кроме KEY и PROG не существует. Да ещё COL HardWareMan ввёл для переключения цветов 5/8.


А я так понял, что существует (например COL и MOD)
По COL инфа есть чуть ниже этой таблицы

А что COL использует все 4 выделенных байта памяти?


10 Jun 2011 04:45
Profile
Admin
User avatar

Joined: 08 Jan 2003 23:22
Posts: 22409
Location: Silicon Valley
Reply with quote
Lavr wrote:
Shaos wrote:
fifan wrote:
Поправка: в STD режиме устройств кроме KEY и PROG не существует. Да ещё COL HardWareMan ввёл для переключения цветов 5/8.


А я так понял, что существует (например COL и MOD)
По COL инфа есть чуть ниже этой таблицы

А что COL использует все 4 выделенных байта памяти?

Угу - по любому из 4-х адресов можно писать, как я понял

_________________
:dj: https://mastodon.social/@Shaos


10 Jun 2011 05:40
Profile WWW
Admin
User avatar

Joined: 08 Jan 2003 23:22
Posts: 22409
Location: Silicon Valley
Reply with quote
Возникла мысль сделать TURBOSPETS-85 - пусть в нём будет 8085AH-2, работающий на частоте 5 МГц (с возможностью переключиться в 2.5 МГц?) - соответственно всю хитрую хардвареманскую алхимию по игре с двухфазным синхросигналом для проца надо выкинуть, оставив только растактовки для 800x600@60Hz. Далее - 8085 имеет однофазный тактовый сигнал и чуть более короткие машинные циклы - первый цикл M1 от 4 до 6 тактов (причём ALE всегда есть в первом такте этого цикла) и до 4 дополнительных циклов от M2 до M5 - все по 3 такта (в первом такте таких циклов может быть ALE, а может и не быть - если цикл не подразумевает обращение к памяти). Если случился ALE (по которому стробируются младшие 8 бит адреса с мультиплексированной шины данных), то это означает, что в следующем такте неминуемо случится /WR или /RD (к памяти или портам), который продлится почти 2 такта. Для VGA 800x600@60Hz пиксельклок равен 40 MHz, но т.к. наше графическое разрешение в два раза меньше (прорежено по горизонтали плюс повторение по 2 раза каждой строки), то пиксельклок будет 20 MHz т.е. байты должны вычитываться с частотой 2.5 МГц (собственно как и есть в хардваремановском варианте) или каждый второй такт 8085 - значит так или иначе оно периодически будет попадать на процессорные /WR или /RD - отсюда следует, что и /WR, и /RD при обращении к ОЗУ надо сократить до одного такта и т.к. память у нас быстрая, то это вполне сработает. Значит по приходу каждого ALE мы смотрим есть ли в этом такте чтение из ОЗУ для видео - если есть, то даём процу время только в следующем втором такте (запоминая в регистре прочитанное) т.к. обращение к ОЗУ для видео случится в третьем такте, а если нет видео во время ALE, то чтение из ОЗУ для видео точно будет в следующем втором такте и нам надо дать процу время в третьем такте - вобщем как-то так...

P.S. На самом деле при сбросе ALE по S0 и S1 уже можно понять что будет следом - /WR или /RD (примерно на полтакта раньше самих сигналов). В случае /RD байт можно подготовить заранее (адрес уже известен) и запомнить его в регистре, для последующей отдачи в процессор. А вот в случае /WR ничего в первом такте сделать ещё нельзя т.к. проц ещё не выдал данные для записи (они появятся только в следующем такте).

_________________
:dj: https://mastodon.social/@Shaos


04 Mar 2016 19:29
Profile WWW
Admin
User avatar

Joined: 08 Jan 2003 23:22
Posts: 22409
Location: Silicon Valley
Reply with quote
На самом деле надо бы сначала оригинальную схему развести, собрать и запустить, а уже потом думать про 8085, а пока у меня всё остановилось 4 июня 2011 года вот в таком состоянии:


Attachments:
SpetSvga2011-06-04.jpg
SpetSvga2011-06-04.jpg [ 60.45 KiB | Viewed 9859 times ]

_________________
:dj: https://mastodon.social/@Shaos
03 Feb 2018 20:36
Profile WWW
Admin
User avatar

Joined: 08 Jan 2003 23:22
Posts: 22409
Location: Silicon Valley
Reply with quote
По поводу вывода на VGA по схеме от железячночела - для 800x600@60Hz стандартным пиксельклоком является 40 МГц. В этой схеме те же 40 МГц имеются изначально, но пиксельклок тут на самом деле 20 МГц, чтобы 384 пикселов худо-бедно влезли во всю ширь экрана (ну т.е. если отталкиваться от стандартного пиксельклока, то пикселы по горизонтали как бы удваиваются, давая горизонтальное разрешение 768). По вертикали отрабатывает как бы "встроенный скандаблер", который прогоняет каждую строку 2 раза превращая 256 строк в 512:

Attachment:
Specia800x600.png
Specia800x600.png [ 37.83 KiB | Viewed 4160 times ]

В данном случае оранжевой заливкой я показываю "бордюр", который дозаполняет стандартные 800x600.

Всё бы хорошо, но на широкоформатном современном мониторе с соотношением сторон 16:9 это будет выглядеть так:

Attachment:
Specia800x600w.png
Specia800x600w.png [ 44.6 KiB | Viewed 4160 times ]

Тут у меня возникла следующая идея - вместо 20 МГц пиксельклока надо сделать 30 МГц - при этом стандартные 384x256 расположатся в середине экрана практически без искажений:

Attachment:
Specia800x600w30MHz.png
Specia800x600w30MHz.png [ 45.38 KiB | Viewed 4160 times ]

И у нас появляется возможность добавить расширенный графический режим 512x256, на реализацию которого уже понадобится 16кб видеопамяти (что потребует увеличить зону экрана с 9000H...BFFFH до 8000H...BFFFH) и 16кб памяти цвета:

Attachment:
Specia800x600w30MHz-wide.png
Specia800x600w30MHz-wide.png [ 54.88 KiB | Viewed 4160 times ]

Ещё одна полезная фишка этого режима - имея шрифт 6x8 мы легко и непринуждённо получаем 85 символов в строке (при 32-х строках), что позволяет полностью вместить стандартный "писюковский" текстовый экран 80x25 например для запуска CP/M-80 программ 8)

_________________
:dj: https://mastodon.social/@Shaos


09 Feb 2023 02:23
Profile WWW
Supreme God
User avatar

Joined: 21 Oct 2009 08:08
Posts: 7777
Location: Россия
Reply with quote
Shaos wrote:
По поводу вывода на VGA по схеме от железячночела - для 800x600@60Hz стандартным пиксельклоком является 40 МГц.

Я уж тут спрашивал у PVV, но, к сожалению, он с нового года не был на форуме...
А есть ли возможность сохранить в схеме и ТВ-режим не слишком её усложняя?

_________________
iLavr


09 Feb 2023 09:45
Profile
Admin
User avatar

Joined: 08 Jan 2003 23:22
Posts: 22409
Location: Silicon Valley
Reply with quote
Lavr wrote:
Shaos wrote:
По поводу вывода на VGA по схеме от железячночела - для 800x600@60Hz стандартным пиксельклоком является 40 МГц.

Я уж тут спрашивал у PVV, но, к сожалению, он с нового года не был на форуме...
А есть ли возможность сохранить в схеме и ТВ-режим не слишком её усложняя?
Для ТВ бери классический MX ;)
На самом деле в наше время VGA-монитор найти проще, чем PAL/SECAM-телек с RGB-входом.
А если ты через PAL-кодер хочешь подключаться, то там изображение всё размыленное будет.
И потом 256 видимых строк на ТВ возможны только в синих и оранжевых зонах этой карты:
Attachment:
NTSC-vs-PAL.png
NTSC-vs-PAL.png [ 31.11 KiB | Viewed 4098 times ]
Зелёная зона должна искать старые мониторы Коммодоре или редкие моники умеющие 15кГц строчной
либо покупать конвертеры стоящие дороже самого компа, а вот VGA покрывает всю планету на 100% :roll:

_________________
:dj: https://mastodon.social/@Shaos


09 Feb 2023 20:14
Profile WWW
Admin
User avatar

Joined: 08 Jan 2003 23:22
Posts: 22409
Location: Silicon Valley
Reply with quote
Shaos wrote:
Тут у меня возникла следующая идея - вместо 20 МГц пиксельклока надо сделать 30 МГц - при этом стандартные 384x256 расположатся в середине экрана практически без искажений
...
И у нас появляется возможность добавить расширенный графический режим 512x256, на реализацию которого уже понадобится 16кб видеопамяти (что потребует увеличить зону экрана с 9000H...BFFFH до 8000H...BFFFH) и 16кб памяти цвета

А если взять 28 МГц, то 512 пикселов ещё лучше встают :)

Attachment:
Specia800x600w28MHz-wide.png
Specia800x600w28MHz-wide.png [ 215.83 KiB | Viewed 4085 times ]

Правда видимое соотношение сторон стандартного разрешения 384x256 будет 1.42 вместо 1.33 (что близко к 1.5 соотношения 384:256 т.к. квадраты будут походить на квадраты, а круги - на круги):

Attachment:
Specia800x600w28MHz.png
Specia800x600w28MHz.png [ 55.9 KiB | Viewed 4085 times ]


Quote:
Ещё одна полезная фишка этого режима - имея шрифт 6x8 мы легко и непринуждённо получаем 85 символов в строке (при 32-х строках), что позволяет полностью вместить стандартный "писюковский" текстовый экран 80x25 например для запуска CP/M-80 программ 8)
Также в этой развёртке можно организовать АППАРАТНЫЙ текстовый режим 80x25 правда для этого уже скорее всего понадобится CPLD :mrgreen:

P.S. Для переключения 384 или 512 пикселов по горизонтали надо уметь задавать начало экрана (старший байт #90 или #80 - можно вообще сделать произвольное начало от #00 до #FF), 1 бит на включение широкого экрана (64 колонки вместо 48), 1 бит на разрешение произвольного смещения (по умолчанию смещение #90). Наверное можно добавить 1 бит, который бы позволял временно включать всё ОЗУ (надо уметь писать в конец ОЗУ если вдруг надумаем делать полный горизонтальный скролл). Можно бордюр сделать как в ZX - например брать с ВВ5 цвета CR, CB, CG. И ещё надо чётко разделить запись в COL в режиме STD и в режиме MX - сейчас как я понимаю оно пишется в любом случае и туда и сюда, а если мы начинаем использовать доп.биты в режиме STD (типа как я добавил бит на переключение половинок основного ОЗУ и ОЗУ цвета), то оно не должно сбрасываться, если в режиме MX программа пишет туда полный 8-битный атрибут цвета.

P.P.S. Ещё как я понимаю цвет номер 6 в MX на самом деле не коричневый, а тёмно-жёлтый и чтобы сделать из него коричневый надо добавить "коричневый трюк", который приуменьшает зелёную составляющую для цвета 0110, чтобы выглядело как в старом добром CGA:
Attachment:
fotios-c-128-rgbi-to-vga-hack-with-ibm-cga-color-fix-for-brown i.1.5.jpeg
fotios-c-128-rgbi-to-vga-hack-with-ibm-cga-color-fix-for-brown i.1.5.jpeg [ 124.66 KiB | Viewed 4064 times ]
https://comp.sys.cbm.narkive.com/gMKvlws3/fotios-c-128-rgbi-to-vga-hack-with-ibm-cga-color-fix-for-brown

P.P.P.S. Вот ещё одна вариация на заданную тему:


Attachments:
Screenshot from 2023-02-10 00-38-03.png
Screenshot from 2023-02-10 00-38-03.png [ 247.23 KiB | Viewed 4064 times ]

_________________
:dj: https://mastodon.social/@Shaos
09 Feb 2023 22:27
Profile WWW
Supreme God
User avatar

Joined: 21 Oct 2009 08:08
Posts: 7777
Location: Россия
Reply with quote
Shaos wrote:
Lavr wrote:
Shaos wrote:
По поводу вывода на VGA по схеме от железячночела - для 800x600@60Hz стандартным пиксельклоком является 40 МГц.

Я уж тут спрашивал у PVV, но, к сожалению, он с нового года не был на форуме...
А есть ли возможность сохранить в схеме и ТВ-режим не слишком её усложняя?
Для ТВ бери классический MX ;)
На самом деле в наше время VGA-монитор найти проще, чем PAL/SECAM-телек с RGB-входом.

У меня DVD-плеер понимает TV-развёртку по низкой частоте, я уже показывал здесь:

Image

Но я спрашиваю про другое: насколько сложно иметь в одной схеме обе развёртки и при необходимости
переключать их?

_________________
iLavr


10 Feb 2023 13:18
Profile
Admin
User avatar

Joined: 08 Jan 2003 23:22
Posts: 22409
Location: Silicon Valley
Reply with quote
Post 
А ну т.е. у тебя нету цвета? Без цвета скушно :)

Технически можно поставить рубильник который будет запрещать «скандаблер», но там ещё и все номера надо менять - где синхра начинается, где заканчивается, где строка рубится, сколько строк и т.д. - овчинка выделки не стоит…

_________________
:dj: https://mastodon.social/@Shaos


10 Feb 2023 14:09
Profile WWW
Supreme God
User avatar

Joined: 21 Oct 2009 08:08
Posts: 7777
Location: Россия
Reply with quote
Post 
Shaos wrote:
...овчинка выделки не стоит…

Кто знает... может и стоит... я не разбирался пока, думал, может ты разобрался...

_________________
iLavr


10 Feb 2023 14:14
Profile
Admin
User avatar

Joined: 08 Jan 2003 23:22
Posts: 22409
Location: Silicon Valley
Reply with quote
Post 
Lavr wrote:
Shaos wrote:
...овчинка выделки не стоит…

Кто знает... может и стоит... я не разбирался пока, думал, может ты разобрался...

Ну я типа разобрался и написал, что под телевизионные времянки надо перелопачивать всю логику счёта строк и генерации синхры - какой смысл, если есть классический MX?

_________________
:dj: https://mastodon.social/@Shaos


10 Feb 2023 21:23
Profile WWW
Display posts from previous:  Sort by  
Reply to topic   [ 63 posts ]  Go to page 1, 2, 3, 4, 5  Next

Who is online

Users browsing this forum: No registered users and 10 guests


You cannot post new topics in this forum
You cannot reply to topics in this forum
You cannot edit your posts in this forum
You cannot delete your posts in this forum
You cannot post attachments in this forum

Search for:
Jump to:  
Powered by phpBB® Forum Software © phpBB Group
Designed by ST Software.