nedoPC.org

Electronics hobbyists community established in 2002
Atom Feed | View unanswered posts | View active topics It is currently 19 Apr 2024 07:40



Reply to topic  [ 182 posts ]  Go to page Previous  1, 2, 3, 4, 5, 6 ... 13  Next
Запустить 8086 в минимальной обвязке? 
Author Message
Doomed

Joined: 18 Nov 2013 02:38
Posts: 662
Location: Москва
Reply with quote
Post 
Как то тут все не так. Я пока присматриваюсь к схеме : https://drive.google.com/file/d/0B0qXFlUd--AtN1ZqQ0pFRHhaYUE/edit?usp=sharing (File - Download). Конечно от туда надо выпилить видео-адаптер, но в целом представление дает...


12 Jun 2014 11:15
Profile
Doomed

Joined: 18 Nov 2013 02:38
Posts: 662
Location: Москва
Reply with quote
Post 
Ессно контроллер клавы тоже переделать на USB или PS/2, выпилив оригинальную. Память статику воткнуть вместо динамики. И в итоге все упрятать в ПЛИС. Кроме проца.


12 Jun 2014 11:27
Profile
Doomed

Joined: 25 Aug 2009 07:02
Posts: 459
Location: Москва
Reply with quote
Post 
Tronix wrote:
Как то тут все не так. Я пока присматриваюсь к схеме : https://drive.google.com/file/d/0B0qXFlUd--AtN1ZqQ0pFRHhaYUE/edit?usp=sharing (File - Download). Конечно от туда надо выпилить видео-адаптер, но в целом представление дает...

Песням про совместимость не верьте. По мотивам, с обрезаниями, с несовместимыми добавлениями - более точная характеристика. ВМ87 нафиг не нужен, переработать под минимальный режим включения процессора, ГФ84 что-то сэкономит, память с графикой тоже как-то оптимизировать не мешает, матричная клава - несерьезно. Как набор базовых идей, в том смысле, чего достаточно для того, чтоб можно было начинать трубить про совместимость - вполне годно.


12 Jun 2014 12:01
Profile
Supreme God
User avatar

Joined: 21 Oct 2009 08:08
Posts: 7777
Location: Россия
Reply with quote
Post 
Mixa64 wrote:
А что делать с ВМ86 в этих случаях?

Посмотрите в схему «Искра 1030», она в этих случаях великолепно справляется,
и причем вся периферия у нее на УВВ 580-го комплекта.
Ну и организацию обращения ВМ86 к памяти и УВВ вспомнить неплохо.

Я всё ж работал на «Искре 1030» и цеплял к ней устройства, и альбом схем у меня
есть, но никаких "Нагромождений и НЕ элегантности" я там не встречал.

Ну и в принципе топик-стартер может сделать всё гораздо проще, нежели повторять
схемотехнику ХТ, образца i8088.
i8088 - был вынужденной мерой, чтобы перетянуть на Интелл "одеяло" 8-битных конструкций.

_________________
iLavr


13 Jun 2014 06:55
Profile
Doomed

Joined: 25 Aug 2009 07:02
Posts: 459
Location: Москва
Reply with quote
Post 
Lavr wrote:
Mixa64 wrote:
А что делать с ВМ86 в этих случаях?

Посмотрите в схему «Искра 1030», она в этих случаях великолепно справляется,
Посмотрел, не справляется
Quote:
и причем вся периферия у нее на УВВ 580-го комплекта.
Повешенная на AD0-AD7. Происходящее на AD8-AD15 при 16-битном обмене с УВВ, эту периферию никак не затрагивает.
Quote:
Ну и организацию обращения ВМ86 к памяти и УВВ вспомнить неплохо.
К обмену с памятью вопросов нет.
Quote:
Я всё ж работал на «Искре 1030» и цеплял к ней устройства, и альбом схем у меня
есть, но никаких "Нагромождений и НЕ элегантности" я там не встречал.
Поэтому и не справляется :) Грамотно построенное не означает совместимое, у Искры совместимости нет.


13 Jun 2014 10:22
Profile
Doomed

Joined: 18 Nov 2013 02:38
Posts: 662
Location: Москва
Reply with quote
Post 
Да... Это есть. И ISA-16 тут не выкрутишься. Жаль жаль.


13 Jun 2014 11:21
Profile
Supreme God
User avatar

Joined: 21 Oct 2009 08:08
Posts: 7777
Location: Россия
Reply with quote
Post 
Mixa64 wrote:
Грамотно построенное не означает совместимое, у Искры совместимости нет.

О совместимости имеет смысл вести речь на каком-то уровне.

На уровне вызовов BIOS "Искра-1030" полностью ХТ-совместима.
На ней безо всяких претензии вращался DOS 3.3 и DR-DOS 5.0.

Конечно, платы оригинальной ХТ в "Искра-1030" не воткнешь, но разве от нее
это требуется и разве вообще об этом речь в топике?

Mixa64 wrote:
Повешенная на AD0-AD7. Происходящее на AD8-AD15 при 16-битном обмене с УВВ, эту периферию никак не затрагивает.

8-битная, да, повешенная на AD0-AD7, 16-битная - на всю ширь, а как иначе следует?

Mixa64 wrote:
Lavr wrote:
Посмотрите в схему «Искра 1030», она в этих случаях великолепно справляется,

Посмотрел, не справляется

Ну раз так быстро посмотрели - выложите эти схемы для Tronix-а, детали там все отечественные, я думаю,
для него пример схемотехники на 8086 будет куда полезнее всего прочего словоблудия!

_________________
iLavr


14 Jun 2014 17:18
Profile
Supreme God
User avatar

Joined: 21 Oct 2009 08:08
Posts: 7777
Location: Россия
Reply with quote
Я посмотрел, с чего начался этот топик...
Tronix wrote:
Никто не видел мини-проектов с 8086 процом, типа каких-нибудь миганий светодиодами или что-то такое?

А тут уже докатились до собирания ХТ... :(

Конечно, хозяин - барин, и я не привык своё мнение навязывать, но вот в этой книжечке:

Image

есть описание одноплатной микро-ЭВМ на 8086, причем с "дампом" управляющей программы
Монитор - УМПК-86.

Image

Мне кажется, этот вариант будет попроще для повторения, чем дублировать варианты ХТ.
К тому же в книге есть и полное описание как схемы, так и возможностей подключения
8-битной периферии из комплекта 580
Где взять эту книгу - есть ссылка в нашей избе-читальне.

_________________
iLavr


14 Jun 2014 18:03
Profile
Maniac

Joined: 18 Nov 2013 15:15
Posts: 209
Location: все оттуда ;)
Reply with quote
Post 
Эта фигня с кнопочками и семисегментными индикаторами - анахренизм !

IMHO если делать машинку, то чистый 8086\8088, ROM, RAM, последовательный порт (для подключения терминала) и параллельный 8255 (для связи с другими машинками).

Хочется фигню с кнопочками и семисегментными индикаторами - на вторую плату это развести.


15 Jun 2014 05:19
Profile
Supreme God
User avatar

Joined: 21 Oct 2009 08:08
Posts: 7777
Location: Россия
Reply with quote
Post 
VGrad wrote:
Эта фигня с кнопочками и семисегментными индикаторами - анахренизм !

IMHO если делать машинку, то чистый 8086, ROM, RAM, последовательный порт
(для подключения терминала) и параллельный 8255 (для связи с другими машинками).

Мне кажется, что Вы уыпили на праздники, и бредите... :(

В УМПК-86 как раз и есть в наличии "чистый 8086\8088, ROM, RAM, последовательный порт
(для подключения терминала) и параллельный 8255 (для связи с другими машинками).
"

3.1415-здеть ведь не мешки ворочать, и что-то я не заметил, чтоб Вы хотя бы одну
реальную схему предложили, кроме нелепых восклицаний...

Что просил топик-стартер?
Tronix wrote:
...мини-проектов с 8086 процом, типа каких-нибудь миганий светодиодами или что-то такое?

Под эту задачу, я и предлагаю ему реальную простую схему и ПО.

А если Вам так невтерпеж помечтать - изложите свои смелые фантазии вот здесь:
PC-совместимый компьютер на К1810,
а то там всё подзастряло при размахе, аналогичном Вашему.

_________________
iLavr


15 Jun 2014 14:17
Profile
Doomed

Joined: 18 Nov 2013 02:38
Posts: 662
Location: Москва
Reply with quote
Post 
Конечно, хочется в идеале полноценный комп. Но начну я пожалуй, с чего-то вроде УМПК-86 или даже проще. Сейчас начал понемногу изучать ПЛИС, потому что интересно, и потому что я без ПЛИС офигею запаивать столько километров МГТФ. Сначала хочу сделать на ПЛИС мелкий проектик (xt ide контроллер для XT), потом можно будет двинуться в сторону этой темы и 8086.


15 Jun 2014 21:10
Profile
Supreme God
User avatar

Joined: 21 Oct 2009 08:08
Posts: 7777
Location: Россия
Reply with quote
Post 
Tronix wrote:
Конечно, хочется в идеале полноценный комп. Но начну я пожалуй, с чего-то вроде УМПК-86 или даже проще.

Ты просто почитай там - УМПК-86 он же наращиваемый!
Сначала - минимальная плата, как ты и хотел - на "поморгать" диодами, собственно
для таких затей минимальная плата и нужна. А потом и - платы расширения...

Но у нее есть преимущесто - сразу имеется Мониторная система - а это большой плюс!
Я когда пересел со "Специалиста" на «Искру 1030» - вдруг как без рук оказался! :o
Это уж после - и "дебаг" и другие утилиты набрал - а так, плата без ПО и дисковой
системы - замучаешься! :(

Я щаз Едук-8 отлаживаю - блииин...( как тяжело без мониторной программы...(

_________________
iLavr


16 Jun 2014 12:02
Profile
Doomed

Joined: 25 Aug 2009 07:02
Posts: 459
Location: Москва
Reply with quote
Post 
Наращиваемый или сразу что-то монолитное - сие есть дилемма. Микро-80 наращиваемый, но монстр. Радио-86РК - а попробуйте из него что-то минимально работоспособное вычленить. Зато его собирают все.
XT - та еще фруктина, модуль ЦП это по сути клубок из CPU+DMA с сопутствующей обвязкой синхронизации CPU и DMA между собой, управлением коммутаторами шин, сами коммутаторы шин, блок регистров страниц DMA. И ОЗУ там же.
Но, согласен, для учебы наращиваемое самое то.
И понятие полноценный комп тоже относительное. Если в это понятие входит "совместимость с XT, потому что программуль дофигища", это одно, если полноценный комп сам по себе - это просто совсем другое.
Да, и про модульность: появляются затраты двух видов, затраты на модульность (как построить систему так, чтобы она была набором модулей, а не клубком) и затраты на сопряжение модулей. И то, и другое требует дополнительных микросхем.
P.S. Из чего следует, что минимальная система - это клубок. :)


17 Jun 2014 02:59
Profile
Supreme God
User avatar

Joined: 21 Oct 2009 08:08
Posts: 7777
Location: Россия
Reply with quote
Post 
Mixa64 wrote:
Да, и про модульность: появляются затраты двух видов, затраты на модульность (как построить систему так, чтобы она была набором модулей, а не клубком) и затраты на сопряжение модулей. И то, и другое требует дополнительных микросхем.

Любое расширение системы "требует дополнительных микросхем".
Так что понятие "затраты на сопряжение модулей" довольно абстрактое.
С учетом того, что в конструкции УМПК-86 эти вещи уже продуманы.

Если есть базовый модуль и сформирована шина с умощненными магистралями, то "затраты
на сопряжение модулей" не более, чем на ISA.

А на ISA - большие "затраты на сопряжение" с ней? :wink:

_________________
iLavr


17 Jun 2014 08:40
Profile
Doomed

Joined: 25 Aug 2009 07:02
Posts: 459
Location: Москва
Reply with quote
Post 
Lavr wrote:
А на ISA - большие "затраты на сопряжение" с ней? :wink:

Ну, большие - не большие, а буферы, схема управления направлением передачи через них, может быть дешифратор.


18 Jun 2014 10:04
Profile
Display posts from previous:  Sort by  
Reply to topic   [ 182 posts ]  Go to page Previous  1, 2, 3, 4, 5, 6 ... 13  Next

Who is online

Users browsing this forum: No registered users and 9 guests


You cannot post new topics in this forum
You cannot reply to topics in this forum
You cannot edit your posts in this forum
You cannot delete your posts in this forum
You cannot post attachments in this forum

Search for:
Jump to:  
Powered by phpBB® Forum Software © phpBB Group
Designed by ST Software.