nedoPC.org

Electronics hobbyists community established in 2002
Atom Feed | View unanswered posts | View active topics It is currently 28 Mar 2024 08:26



Reply to topic  [ 17 posts ]  Go to page 1, 2  Next
i4004 на Верилоге 
Author Message
Supreme God
User avatar

Joined: 21 Oct 2009 08:08
Posts: 7777
Location: Россия
Reply with quote
Принципиальная схема i4004

Где-то я читал воспоминания создателей первых процессоров Intel. Что меня удивило,
так это высказывание типа:"Нам пришлось забыть про квадратики И, ИЛИ... которым
нас учили в Гарвардах и Итонах..." (примерно так)
Глядя на схему i4004 приходится в это поверить...

http://www.cybernova.ru/_pu/2/50042645.gif

http://www.cybernova.ru/publ/computer/processors/processory_do_arkhitektury_x86/98-1-0-229

Shaos заменил картинку на линк ибо тормозило...

PS. Странно - но когда вот по этой ссылке не то чтобы тормозит, а на минуты вешает:
http://www.nedopc.org/forum/viewtopic.php?t=9399&postdays=0&postorder=asc&start=120
http://www.nedopc.org/nedopc/upload/plateMX3.png
Shaos что-то и глазом не моргнул...


Last edited by Lavr on 13 Apr 2011 19:09, edited 1 time in total.



08 Apr 2011 17:55
Profile
Senior

Joined: 28 Feb 2006 21:34
Posts: 180
Reply with quote
Lavr wrote:
Глядя на схему i4004 приходится в это поверить...

Интересно сколько строчек это займет на Верилоге ? Думаю на двух страницах уместится...


09 Apr 2011 00:05
Profile
Supreme God
User avatar

Joined: 21 Oct 2009 08:08
Posts: 7777
Location: Россия
Reply with quote
Post 
d_wanderer wrote:
Интересно сколько строчек это займет на Верилоге ?

Кто бы попробовал... А сколько занимает i8080 на Верилоге ?


09 Apr 2011 01:47
Profile
Devil

Joined: 26 May 2003 06:57
Posts: 859
Reply with quote
Post 
Мой вариант 450 строчек на Верилоге или примерно 900 LE.
Можно, наверное, и в одну строчку всё запихать :)

_________________
Страничка эмулятора наших компьютеров
http://bashkiria-2m.narod.ru/


10 Apr 2011 23:40
Profile WWW
Senior

Joined: 28 Feb 2006 21:34
Posts: 180
Reply with quote
Post 
b2m wrote:
Мой вариант 450 строчек на Верилоге или примерно 900 LE.
Можно, наверное, и в одну строчку всё запихать :)

В принципе можно сделать и поменьше - все зависит на каком уровне описывать. ЕСли взять уровень транзисторов - может получиться и поболее.


13 Apr 2011 09:31
Profile
Supreme God
User avatar

Joined: 21 Oct 2009 08:08
Posts: 7777
Location: Россия
Reply with quote
Post 
b2m wrote:
Можно, наверное, и в одну строчку всё запихать :)

Вот тут люди пишут - можно обойтись и без единой строчки... и без Верилога... :wink:
http://forum.ixbt.com/topic.cgi?id=48:1629
Zak_Nik wrote:
Тружусь над проектом в Максплюсе. Особых сложностей нет, надо лишь знать тонкости. VeryLog и прочие страшные слова только слышал. Я графикой рисую.
Elektronik wrote:
Да глупости все это про верилог.
В мах+плюс практически любой проект можно сделать на элементах mega_lpm, ну и с добавками на AHDL.
Всякие VHDL и verilog это для несчастных которые выбрали фирмы Xilinx или Actel.


Last edited by Lavr on 13 Apr 2011 19:12, edited 1 time in total.



13 Apr 2011 18:49
Profile
Banned
User avatar

Joined: 20 Mar 2005 13:41
Posts: 2141
Location: От туда
Reply with quote
Post 
С самого начала, как стал юзать схемный ввод, так и прикипел. Проекты любой сложности, например конвеерный расчет хэша. Один поток ~9К ALUT и около 1,3К REG. Для ArriaIIGX.
Image


13 Apr 2011 19:02
Profile
Supreme God
User avatar

Joined: 21 Oct 2009 08:08
Posts: 7777
Location: Россия
Reply with quote
Post 
HardWareMan wrote:
С самого начала, как стал юзать схемный ввод, так и прикипел.

А в чём ты его юзаешь? В какой системе?


13 Apr 2011 19:21
Profile
Banned
User avatar

Joined: 20 Mar 2005 13:41
Posts: 2141
Location: От туда
Reply with quote
Post 
Lavr wrote:
HardWareMan wrote:
С самого начала, как стал юзать схемный ввод, так и прикипел.

А в чём ты его юзаешь? В какой системе?

Ну Квартус же. Иначе бы Фифан не ругался бы так, прикручивая его к своему верилогу. :3


13 Apr 2011 20:37
Profile
Supreme God
User avatar

Joined: 21 Oct 2009 08:08
Posts: 7777
Location: Россия
Reply with quote
Post 
HardWareMan wrote:
Ну Квартус же. Иначе бы Фифан не ругался бы так, прикручивая его к своему верилогу. :3

Ааааа - ну конешно!!! Тока мне показалось, что по ходу топика ты его тоже
на Квартус сблазнил. Нет?
Тут есть мужички тоже на нём работают:http://forum.ixbt.com/topic.cgi?id=48:1629
и, кстати, под Win 98SE! :kruto:


14 Apr 2011 02:56
Profile
Devil

Joined: 06 Oct 2006 03:17
Posts: 856
Location: г.Лянтор,Сургутского р-на,ХМАО
Reply with quote
Post 
HardWareMan wrote:
Иначе бы Фифан не ругался бы так, прикручивая его к своему верилогу. :3

Не Верилогу, а VHDL. Кстати драйвер t80 процессоров 8080/Z80 на Verilog и VHDL написаны.


14 Apr 2011 06:08
Profile
Supreme God
User avatar

Joined: 21 Oct 2009 08:08
Posts: 7777
Location: Россия
Reply with quote
Post 
У меня такой вопрос к нашим специалистам по VHDL и Verilog:
если у меня нет схемы конкретного устройства, но есть возможность
скачать Verilog implementation или VHDL implementation из сети, то
есть ли способ увидеть принципиальную схему исходного устройства
по его соответствующей имплементации?


10 Mar 2012 18:06
Profile
Banned
User avatar

Joined: 20 Mar 2005 13:41
Posts: 2141
Location: От туда
Reply with quote
Post 
Нууу, в Кактусе есть NetList Viewer, который покажет актуальную скомпиленную "схему", с расстановкой тактовой задержки слева-направо, но я не думаю, что это будет что-то съедобное, если исходник достаточно сложный.


10 Mar 2012 19:57
Profile
Admin
User avatar

Joined: 08 Jan 2003 23:22
Posts: 22409
Location: Silicon Valley
Reply with quote
Post 
На VHDL можно писать разнообразно - в том числе путём соедиения модульков с некоей логикой внутри либо автоматами - если программа написана в таком стиле (а не в процедурном аля паскаль), то в-принципе возможно и схемку восстановить, а вот с Verilog всё вроде бы сильно хуже ибо язык высокого уровня, а не язык описания соединения компонентов, коим можно считать VHDL

_________________
:dj: https://mastodon.social/@Shaos


10 Mar 2012 21:16
Profile WWW
Supreme God
User avatar

Joined: 21 Oct 2009 08:08
Posts: 7777
Location: Россия
Reply with quote
Post 
Вопрос связан с "Машиной Мано".
Схем её г-н Мано нигде не привёл, только блок-схемы вперемешку с логикой.

Есть две ссылки:
A VHDL implementation of the Mano Machine by N. Narasimhamurthi
и
A Verilog implementation of the Mano Machine by Greg Toombs

Есть возможность восстановить по какой-то из них саму схему?
Хотелось бы увидеть схемную реализацию некоторых блоков...


11 Mar 2012 04:36
Profile
Display posts from previous:  Sort by  
Reply to topic   [ 17 posts ]  Go to page 1, 2  Next

Who is online

Users browsing this forum: No registered users and 7 guests


You cannot post new topics in this forum
You cannot reply to topics in this forum
You cannot edit your posts in this forum
You cannot delete your posts in this forum
You cannot post attachments in this forum

Search for:
Jump to:  
Powered by phpBB® Forum Software © phpBB Group
Designed by ST Software.