nedoPC.org

Electronics hobbyists community established in 2002
Atom Feed | View unanswered posts | View active topics It is currently 28 Mar 2024 07:38



Reply to topic  [ 21 posts ]  Go to page 1, 2  Next
Умножители частоты 
Author Message
Supreme God
User avatar

Joined: 21 Oct 2009 08:08
Posts: 7777
Location: Россия
Reply with quote
Я тут несколько озадачился - а как делают умножение частоты в современных процессорах?

Я, к примеру, знаю, как удвоить частоту:
Attachment:
6easy_4.gif
6easy_4.gif [ 3 KiB | Viewed 12712 times ]

Это создать импульсы по фронту и спаду входящей последовательности.

Если ввести большую задержку в этой схеме:
Attachment:
aO8G3.gif
aO8G3.gif [ 2.61 KiB | Viewed 12712 times ]

то трюк можно повторить и умножить на 4, 8... хотя импульсы не будут по виду меандром...

Но вот как умножить частоту на 3? Я, честно говоря, не представляю и нигде не нашел этого.

Современные методы с PLL для процессора не подходят в силу их инерционности.
Attachment:
MulFr1.gif
MulFr1.gif [ 7.58 KiB | Viewed 12712 times ]

_________________
iLavr


13 Aug 2018 11:58
Profile
Banned

Joined: 07 Mar 2018 23:17
Posts: 315
Location: Россия, Москва
Reply with quote
Простой удвоитель частоты:
Attachment:
FriquencyDubling.JPG
FriquencyDubling.JPG [ 59.01 KiB | Viewed 12673 times ]

Загрузить Circuit Simulator с моделью.
Длительность импульсов можно увеличить добавляя пары инверторов.


14 Aug 2018 03:41
Profile
Supreme God
User avatar

Joined: 21 Oct 2009 08:08
Posts: 7777
Location: Россия
Reply with quote
Джентльмены, я рад, что вы тоже знаете, как умножить частоту на 2, 4, ... и т.п.
Lavr wrote:
Это создать импульсы по фронту и спаду входящей последовательности.

Остается лишь открытым вопрос:
Lavr wrote:
Но вот как умножить частоту на 3?



P.S. И что-то мне нигде не попалась информация, что современные микропроцессоры умножают
тактовую частоту для ядра методом PLL (ФАПЧ по нашему). Для этого на выводах процессора должен
висеть хотя бы один интегрирующий конденсатор, а я такого не встречал. Можно точную ссылку?

_________________
iLavr


14 Aug 2018 06:12
Profile
Maniac

Joined: 05 Nov 2008 19:47
Posts: 287
Location: 81.28.208.238
Reply with quote
Как-то тоже задумывался этим вопросом -
хотел поднять скорость ВВ51 с 9600 до 14400
Надо было умножить 2Мгц на 1.5.
Придумал, что можно умножить на 3, а потом поделить на 2.
А вот как умножить на 3 кроме как ФАПЧ ничего в голову не пришло.
Но 2МГц для 561ГГ1 сильно много.

Наверное можно умножить на 2 а потом одновибраторами сформировать
еще один импульс. что-бы получилось 3 штуки.
Или умножить на 4 и чем-то типа 155ИЕ8 убрать каждый 4-ый импульс.
Останется 3 импульса.
Конечно на меандр это уже будет непохоже.
Можно еще умножить на 8, и так-же убрать каждый четвертый импульс.
А потом поделить на 2 - будет немного лучше.


14 Aug 2018 18:27
Profile
Supreme God
User avatar

Joined: 21 Oct 2009 08:08
Posts: 7777
Location: Россия
Reply with quote
Я честно просмотрел очень много информации сам, прежде, чем задать здесь этот вопрос.

И как умножить на 3 даже близко не нашел (PLL пока не учитываем). :osad:

Но постоянно попадалась фраза из всяких книжек: "процессор умножает частоту шины на три... "

Хотя... процессоры могут умножать и на дробные коэффициенты 2.5 ... 7.5 и т.п.

_________________
iLavr


14 Aug 2018 18:52
Profile
Supreme God
User avatar

Joined: 21 Oct 2009 08:08
Posts: 7777
Location: Россия
Reply with quote
Задал вопрос чуть иначе, впрочем и сам Гугл мне подсказал: what is cpu frequency multiplier.

Действительно, это PLL: CPU multiplier
Quote:
In computing, the clock multiplier (or CPU multiplier or bus/core ratio) sets the ratio of an internal CPU clock rate to the externally supplied clock. A CPU with a 10x multiplier will thus see 10 internal cycles (produced by PLL-based frequency multiplier circuitry) for every external clock cycle.

Ну да, а иначе дробные коэффициенты трудно получить.

Странно. Я знал, что PLL применяют в FPGA, а вот что внутри CPU применяют
PLL - я не знал...

Но всё же мне почему-то кажется, что умножить частоту на 3 можно и без PLL.

_________________
iLavr


14 Aug 2018 19:32
Profile
Banned

Joined: 07 Mar 2018 23:17
Posts: 315
Location: Россия, Москва
Reply with quote
Простой удвоитель частоты в области максимальных частот
Так как симуляторы Atanua и Circuit Simulator не очень наглядно работают в области максимальных частот логических элементов, то, для большей наглядности, был использован Circuit Simulator Viewer собственной разработки на Turbo Basic 1.0. При этом, Circuit Simulator v.1.6i использовался в качестве редактора схемы, а для симуляции использовался Circuit Simulator Viewer собственной разработки на Turbo Basic 1.0 с собственными алгоритмами. Для просмотра использовался DOSBox 0.74, но в крайнем случае можно обойтись и без него:
Attachment:
FREQDUB.JPG
FREQDUB.JPG [ 30.5 KiB | Viewed 12542 times ]

Attachment:
FREQDUB.rar [26.77 KiB]
Downloaded 390 times

В архиве:
FREQDUB - код модели простого удвоителя частоты в Circuit Simulator v.1.6i
FREQDUB.EXE - программа Circuit Simulator Viewer для просмотра модели FREQDUB


Last edited by AndrejKulikov on 17 Aug 2018 09:31, edited 1 time in total.



17 Aug 2018 06:30
Profile
Doomed

Joined: 01 Oct 2007 10:30
Posts: 665
Location: Ukraine
Reply with quote
По мне умножители на рысупихе в топку. Купить кварц на заданную частоту и возбудить двумя (одним) элементом схемы. А всякие глитчи и подобные схемы, мне даже не смешно. Эту хрень конечно можно применить, ну не вспомню где.

Чего то вспомнилось из практики ОРИОН-Z80 карта. Там тоже такой подход применили. Из чего я сделал вывод 555 самая глюченая серия. Так что используйте цифровые микрухи по назначению :D

_________________
Эмулятор OrionEXT:
http://www.orion-ext.narod.ru


17 Aug 2018 06:46
Profile
Supreme God
User avatar

Joined: 21 Oct 2009 08:08
Posts: 7777
Location: Россия
Reply with quote
Lavr wrote:
Но всё же мне почему-то кажется, что умножить частоту на 3 можно и без PLL.

Я подумал, что порешать это можно синхронизацией на гармонике, для этого и искал
схему генератора с ударным возбуждением.

Сделать так, пожалуй, и можно, только есть одно "но": частота синхронизированного генератора
будет фиксированна, а частота синхронизирующего жестко на неё завязана.

То есть, если мы получим синхронизацию 1 МГц -> 3 МГц, то 2 МГц -> 6 МГц при той же схеме
работать уже не будет. Так что "некавайный" способ с синхронизацией... :-?

_________________
iLavr


19 Aug 2018 12:20
Profile
Senior
User avatar

Joined: 21 Aug 2018 07:39
Posts: 163
Location: Кемеровская обл.
Reply with quote
Заказал у восточных братьев мешок ics501m. К моему стыду больше года лежат, так и не опробовал.
В даташите табличка множителей 4X 5.3125X 5X 6.25X 2X 3.125X 6X 3X 8X.
Множитель выбирается тупо уровнем 0, 1 или М на паре ног.
Надеюсь в тему.


22 Aug 2018 08:52
Profile
Supreme God
User avatar

Joined: 21 Oct 2009 08:08
Posts: 7777
Location: Россия
Reply with quote
Icer wrote:
Заказал у восточных братьев мешок ics501m.
...
Надеюсь в тему.

Возможно, и в тему, смотря как они внутри работают: ICS501 – Integrated PLL Clock Multiplier.
Значит, уже проехали эту тему... :wink:

Остался интерес умножить частоту на 3 без PLL ...

И, если посмотреть, как соотносятся между собой сигналы 1 : 3,
Attachment:
1_3.gif
1_3.gif [ 1.79 KiB | Viewed 12382 times ]
то кажется, что это должно быть не так уж и сложно.
По крайней мере по фазе синхронизация подходит...
Нужен лишь импульс "в провале" и "провал" посреди импульса. :lol:

_________________
iLavr


22 Aug 2018 19:35
Profile
Senior
User avatar

Joined: 21 Aug 2018 07:39
Posts: 163
Location: Кемеровская обл.
Reply with quote
Множитель 3х выглядит не так страшно в сравнении с 5.3125 6.25 3.125 :o
в шите на блоксхеме микрухи в блоке PLL указана ROM
возможно в ней содержатся "сэмплы" для управления блоками "микширования" или чем то подобным
может в эту сторону копать?


22 Aug 2018 19:50
Profile
Supreme God
User avatar

Joined: 21 Oct 2009 08:08
Posts: 7777
Location: Россия
Reply with quote
Icer wrote:
Множитель 3х выглядит не так страшно в сравнении с 5.3125 6.25 3.125 :o

Да PLL накрутит легко любой делитель, это же просто отдельный генератор, управляемый напряжением,
вырабатываемым из соотношения фаз задающего и генерируемого сигналов.
Вот же его простая схема в старте топика:
Image

А множитель 3х выглядит не страшно, а заманчиво и интересно, для реализации без PLL.

_________________
iLavr


22 Aug 2018 19:58
Profile
Senior
User avatar

Joined: 21 Aug 2018 07:39
Posts: 163
Location: Кемеровская обл.
Reply with quote
Извиняюсь. Рассматривал тему с практической точки зрения. Не сразу понял, что вы ищите само решение, а не "черный ящик" в виде готовой микрушки.
После приобретения циклона 4 вопрос про PLL сам собой отпал. А мысли как оно работает даже не возникло.
Сразу погрустнело от такой халатности.


22 Aug 2018 20:56
Profile
Maniac

Joined: 05 Nov 2008 19:47
Posts: 287
Location: 81.28.208.238
Reply with quote
умножаем на 3...


Attachments:
x3.JPG
x3.JPG [ 142.45 KiB | Viewed 8179 times ]
26 Aug 2018 20:54
Profile
Display posts from previous:  Sort by  
Reply to topic   [ 21 posts ]  Go to page 1, 2  Next

Who is online

Users browsing this forum: No registered users and 7 guests


You cannot post new topics in this forum
You cannot reply to topics in this forum
You cannot edit your posts in this forum
You cannot delete your posts in this forum
You cannot post attachments in this forum

Search for:
Jump to:  
Powered by phpBB® Forum Software © phpBB Group
Designed by ST Software.