nedoPC.org

Electronics hobbyists community established in 2002
Atom Feed | View unanswered posts | View active topics It is currently 24 Apr 2024 19:02



Reply to topic  [ 222 posts ]  Go to page Previous  1 ... 5, 6, 7, 8, 9, 10, 11 ... 15  Next
Как бы потихонечку освоить работу с FPGA 
Author Message
Supreme God
User avatar

Joined: 21 Oct 2009 08:08
Posts: 7777
Location: Россия
Reply with quote
newold86 wrote:
Lavr wrote:
3. Вы прицепили внешний задающий генератор (возможно, 50МГц ? )
...
Иногда нужно следовать самому простому объяснению :) Генератор там на 12 или сколько там нужно Ориону МГц. Внешний - потому что внутреннего у CPLD (да и у FPGA) нет.
Ок!.. :wink: :kruto:
Я просто размышляю, что вот тут разработчики внешний генератор хоть явно и не прицепили,
но, тем не менее, - припасли... 8)
Image

_________________
iLavr


02 Jul 2016 12:44
Profile
Supreme God
User avatar

Joined: 21 Oct 2009 08:08
Posts: 7777
Location: Россия
Reply with quote
newold86 wrote:
А вообще настоятельно рекомендую почитать приложенный файл:
Attachment:
synch.pdf

Ну в общем-то обычные правила грамотной схемотехники, даже если не касаться ПЛИС.

В литературе очень неплохо изложены здесь, как мне кажется:
"Функциональные узлы цифровой автоматики"

А приложенный файл в переводе и со ссылкой на него популярно излагают здесь:
Нестабильность проектов ПЛИС

_________________
iLavr


02 Jul 2016 15:18
Profile
Supreme God
User avatar

Joined: 21 Oct 2009 08:08
Posts: 7777
Location: Россия
Reply with quote
Но вобще говоря, кое-что несколько непривычно и забавно! :o

Вот так (как обычно привыкли) счетчики делать - нельзя! :wink:
Attachment:
adv70000.gif
adv70000.gif [ 4.31 KiB | Viewed 6258 times ]


Но счетчики следует делать вот так - как сумматор состояния выхода
регистра с единицей... 8)
Attachment:
count_s0.png
count_s0.png [ 11.85 KiB | Viewed 6258 times ]

Я бы, наверное, нарошно не придумал такое :lol:
Видимо, понапихано у них там кучи сумматоров, по одному на каждый LU...
(щютка)

_________________
iLavr


03 Jul 2016 11:59
Profile
Doomed
User avatar

Joined: 11 Dec 2003 14:34
Posts: 413
Reply with quote
Lavr wrote:
Но вобще говоря, кое-что несколько непривычно и забавно! :o

Вот так (как обычно привыкли) счетчики делать - нельзя! :wink:
Attachment:
adv70000.gif


Но счетчики следует делать вот так - как сумматор состояния выхода
регистра с единицей... 8)
Attachment:
count_s0.png

Я бы, наверное, нарошно не придумал такое :lol:
Видимо, понапихано у них там кучи сумматоров, по одному на каждый LU...
(щютка)

Меня терзают смутные сомнения... ты что, собрался схемы рисовать ?. Избавляйся от этого рудимента и все пиши на VHDL, Verilog или System Verilog (SV). Если выберешь SV, то будь в курсе, что он не поддерживается в Xilinx ISE.

_________________
Vasil Ivanov
vasil-i@yandex.ru


03 Jul 2016 12:37
Profile
Devil

Joined: 30 Nov 2013 11:08
Posts: 707
Location: WWW
Reply with quote
Vasil Ivanov wrote:
Меня терзают смутные сомнения... ты что, собрался схемы рисовать ?. Избавляйся от этого рудимента и все пиши на VHDL, Verilog или System Verilog (SV).

Я уже пытался отговорить, с кучей аргументов - не помогло :)


03 Jul 2016 12:51
Profile
Supreme God
User avatar

Joined: 21 Oct 2009 08:08
Posts: 7777
Location: Россия
Reply with quote
Ну, джентльмены, не ругайтесь! :lol: Да, я собираюсь порисовать схемы... Потому что мне это просто еще и нравится! :kruto:

Вы же сначала тоже порисовали схемы? Вот и я сначала немного порисую... :ewink:

Как там говорила КПСС? 8) - Все нации придут к коммунизму, но каждая - своим путём... :wink:


P.S. Хотя, если быть честным, я всё же начал с Verilog HDL...

_________________
iLavr


03 Jul 2016 13:42
Profile
Devil

Joined: 06 Oct 2006 03:17
Posts: 858
Location: г.Лянтор,Сургутского р-на,ХМАО
Reply with quote
Да что вы к Lavr'у пристали - если хочет пусть рисует, vhdl успеет попробовать.
Я начинал со схемного ввода и сейчас наполовину им пользуюсь. Ничего страшного в схемном вводе нет.


03 Jul 2016 23:43
Profile
Novelist

Joined: 17 Mar 2015 21:54
Posts: 31
Reply with quote
Специально проделал опыт с EPM3064.
Работающий JTAG никак не мешает работе проекта в ПЛИС - можно
прочитать идентификаторы, состояние выводов.
А вот при обращении по JTAG к регистрам внутрисистемного
программирования проект тут же прекращает работу -
по крайней мере входы/выходы переходят в Z.


04 Jul 2016 03:18
Profile
Devil

Joined: 06 Oct 2006 03:17
Posts: 858
Location: г.Лянтор,Сургутского р-на,ХМАО
Reply with quote
По поводу неправильных построений схем и их описания. Вот недавний пример. Попробуйте без ошибок описать на vhdl вот эту схему - у меня не получилось - пришлось рисовать и вставлять как компонент в проект на vhdl. Так работает и нет проблем, а то была ошибка про неправильный сигнал тактирования (вход C триггера).


04 Jul 2016 05:02
Profile
Devil

Joined: 30 Nov 2013 11:08
Posts: 707
Location: WWW
Reply with quote
fifan wrote:
Попробуйте без ошибок описать на vhdl вот эту схему


Запросто :)

Code:
LIBRARY ieee;
USE ieee.std_logic_1164.all;

LIBRARY work;

ENTITY Block1 IS
   PORT
   (
      ROMTD :  IN  STD_LOGIC;
      A14 :  IN  STD_LOGIC;
      A15 :  IN  STD_LOGIC;
      NASS :  IN  STD_LOGIC;
      IDP :  OUT  STD_LOGIC
   );
END Block1;

ARCHITECTURE bdf_type OF Block1 IS

SIGNAL   SYNTHESIZED_WIRE_0 :  STD_LOGIC;
SIGNAL   DFF_inst5 :  STD_LOGIC;
SIGNAL   SYNTHESIZED_WIRE_1 :  STD_LOGIC;
SIGNAL   SYNTHESIZED_WIRE_2 :  STD_LOGIC;

BEGIN

SYNTHESIZED_WIRE_0 <= NOT(ROMTD);

SYNTHESIZED_WIRE_2 <= NOT(SYNTHESIZED_WIRE_0 AND A14 AND A15);

SYNTHESIZED_WIRE_1 <= NOT(A14 AND A15);

IDP <= DFF_inst5 AND SYNTHESIZED_WIRE_1;

PROCESS(SYNTHESIZED_WIRE_2,NASS)
BEGIN
IF (NASS = '0') THEN
   DFF_inst5 <= '0';
ELSIF (RISING_EDGE(SYNTHESIZED_WIRE_2)) THEN
   DFF_inst5 <= '1';
END IF;
END PROCESS;

END bdf_type;


Схема была слишком мелкая, поэтому точно названия выводов не рассмотрел, но это не важно.

Естественно, я тут сжульничал :) - нарисовал схему и попросил Quartus сделать для нее VHDL, но суть от этого не меняется (оптимальность кода - мы об этом сейчас не говорим)... Кстати, не совсем понимаю, на что могло ругаться - вроде все довольно прозрачно...


04 Jul 2016 09:47
Profile
Supreme God
User avatar

Joined: 21 Oct 2009 08:08
Posts: 7777
Location: Россия
Reply with quote
newold86 wrote:
Естественно, я тут сжульничал :) - нарисовал схему и попросил Quartus сделать для нее VHDL...

А вот если теперь проверить наоборот: открываем новый проект, создаем файл VHDL,
подставляем в него текст из поста выше, компилируем...
А потом смотрим вьюером - соберет ли Quartus исходную схему или его мнение изменится?

_________________
iLavr


04 Jul 2016 09:54
Profile
Devil

Joined: 30 Nov 2013 11:08
Posts: 707
Location: WWW
Reply with quote
Lavr wrote:
newold86 wrote:
Естественно, я тут сжульничал :) - нарисовал схему и попросил Quartus сделать для нее VHDL...

А вот если теперь проверить наоборот: открываем новый проект, создаем файл VHDL,
подставляем в него текст из поста выше, компилируем...
А потом смотрим вьюером - соберет ли Quartus исходную схему или его мнение изменится?

Прежде, чем постить, проверил :)


04 Jul 2016 09:55
Profile
Supreme God
User avatar

Joined: 21 Oct 2009 08:08
Posts: 7777
Location: Россия
Reply with quote
newold86 wrote:
Lavr wrote:
А вот если теперь проверить наоборот: открываем новый проект, создаем файл VHDL,
подставляем в него текст из поста выше, компилируем...
А потом смотрим вьюером - соберет ли Quartus исходную схему или его мнение изменится?
Прежде, чем постить, проверил :)

Ну тогда утверждение, что "схемный дизайн ведет в тупик" выглядит несколько странно... 8)

_________________
iLavr


04 Jul 2016 10:11
Profile
Devil

Joined: 30 Nov 2013 11:08
Posts: 707
Location: WWW
Reply with quote
Lavr wrote:
Ну тогда утверждение, что "схемный дизайн ведет в тупик" выглядит несколько странно... 8)

Вообще не вижу ничего странного - понятно, что схемы такого размера хоть "вручную" из блоков FPGA собирай, разницы особой не будет. И преобразование в VHDL в этом случае тоже будет более-менее понятное (хотя штук 5 позорных строчек даже здесь я все-таки удалил, не вынесла душа поэта)... А вот что-то побольше превратится в совершенно нечитаемое месиво кода.


04 Jul 2016 12:15
Profile
Supreme God
User avatar

Joined: 21 Oct 2009 08:08
Posts: 7777
Location: Россия
Reply with quote
newold86 wrote:
Lavr wrote:
Ну тогда утверждение, что "схемный дизайн ведет в тупик" выглядит несколько странно... 8)
Вообще не вижу ничего странного...

Ну и я вот не вижу ничего странного, тем более тупикового, в том, чтобы немного порисовать
схемы в Quartus, используя схемный дизайн.

А до чего-то большого мне пока ещё далеко... созреть для этого постепенно надо... :wink:

_________________
iLavr


04 Jul 2016 13:17
Profile
Display posts from previous:  Sort by  
Reply to topic   [ 222 posts ]  Go to page Previous  1 ... 5, 6, 7, 8, 9, 10, 11 ... 15  Next

Who is online

Users browsing this forum: No registered users and 54 guests


You cannot post new topics in this forum
You cannot reply to topics in this forum
You cannot edit your posts in this forum
You cannot delete your posts in this forum
You cannot post attachments in this forum

Search for:
Jump to:  
cron
Powered by phpBB® Forum Software © phpBB Group
Designed by ST Software.